diff --git a/hdl/rtl/clks_rsts_manager.vhd b/hdl/rtl/clks_rsts_manager.vhd index bcfe406b0c689bec2de20cf1eb399de60b9a1107..e1fcf667a3295a1c69f33f329d3641745998a97a 100644 --- a/hdl/rtl/clks_rsts_manager.vhd +++ b/hdl/rtl/clks_rsts_manager.vhd @@ -231,8 +231,8 @@ architecture rtl of clks_rsts_manager is signal tdc_clk_buf : std_logic; signal sclk, tdc_clk, acam_refclk : std_logic; -- Resets - signal internal_rst, interf_rst, rst, vme_rst : std_logic; - signal rst_cnt, vme_rst_cnt : unsigned(7 downto 0) := "00000000"; + signal rst : std_logic; + signal rst_cnt : unsigned(7 downto 0) := "00000000"; --================================================================================================= diff --git a/hdl/rtl/data_formatting.vhd b/hdl/rtl/data_formatting.vhd index 8c7bf1a4b7a83654ce39461365fbcc308987bf22..edae546185b6dc512728a6a1239c197c2cc7434e 100644 --- a/hdl/rtl/data_formatting.vhd +++ b/hdl/rtl/data_formatting.vhd @@ -136,7 +136,7 @@ architecture rtl of data_formatting is signal acam_start_nb : std_logic_vector(7 downto 0); -- timestamp manipulations signal un_acam_start_nb, un_clk_i_cycles_offset : unsigned(31 downto 0); - signal un_roll_over, un_nb_of_retrig, un_retrig_nb_offset : unsigned(31 downto 0); + signal un_nb_of_retrig, un_retrig_nb_offset : unsigned(31 downto 0); signal un_nb_of_cycles, un_retrig_from_roll_over : unsigned(31 downto 0); signal acam_start_nb_32 : std_logic_vector(31 downto 0); -- final timestamp fields @@ -148,8 +148,6 @@ architecture rtl of data_formatting is signal dacapo_counter : unsigned(19 downto 0); signal wr_index : unsigned(7 downto 0); -- coarse time calculations - signal tstamp_on_first_retrig_case1 : std_logic; - signal tstamp_on_first_retrig_case2 : std_logic; signal un_previous_clk_i_cycles_offset : unsigned(31 downto 0); signal un_previous_retrig_nb_offset : unsigned(31 downto 0); signal un_previous_roll_over_nb : unsigned(31 downto 0); diff --git a/hdl/rtl/fmc_tdc_mezzanine.vhd b/hdl/rtl/fmc_tdc_mezzanine.vhd index 962ee467f87218888988880202b96942a1457625..f105f09a7621224e238830d8073a45b06becaf81 100644 --- a/hdl/rtl/fmc_tdc_mezzanine.vhd +++ b/hdl/rtl/fmc_tdc_mezzanine.vhd @@ -183,11 +183,12 @@ architecture rtl of fmc_tdc_mezzanine is --------------------------------------------------------------------------------------------------- -- Note: All address in sdb and crossbar are BYTE addresses! -- Master ports on the wishbone crossbar - constant c_NUM_WB_MASTERS : integer := 4; + constant c_NUM_WB_MASTERS : integer := 5; constant c_WB_SLAVE_TDC_CORE_CONFIG : integer := 0; -- TDC core configuration registers constant c_WB_SLAVE_TDC_ONEWIRE : integer := 1; -- TDC mezzanine board UnidueID&Thermometer 1-wire constant c_WB_SLAVE_TDC_EIC : integer := 2; -- TDC interrupts constant c_WB_SLAVE_TDC_SYS_I2C : integer := 3; -- TDC mezzanine board system EEPROM I2C + constant c_WB_SLAVE_TSTAMP_MEM : integer := 4; -- Access to TDC core timestamps memory -- Slave port on the wishbone crossbar constant c_NUM_WB_SLAVES : integer := 1; @@ -196,11 +197,12 @@ architecture rtl of fmc_tdc_mezzanine is -- sdb header address constant c_SDB_ADDRESS : t_wishbone_address := x"00000000"; -- WISHBONE crossbar layout - constant c_INTERCONNECT_LAYOUT : t_sdb_record_array(3 downto 0) := - (0 => f_sdb_embed_device(c_TDC_CONFIG_SDB_DEVICE, x"00001000"), - 1 => f_sdb_embed_device(c_ONEWIRE_SDB_DEVICE, x"00001100"), - 2 => f_sdb_embed_device(c_TDC_EIC_DEVICE, x"00001200"), - 3 => f_sdb_embed_device(c_I2C_SDB_DEVICE, x"00001300")); + constant c_INTERCONNECT_LAYOUT : t_sdb_record_array(4 downto 0) := + (0 => f_sdb_embed_device(c_TDC_CONFIG_SDB_DEVICE, x"00010000"), + 1 => f_sdb_embed_device(c_ONEWIRE_SDB_DEVICE, x"00011000"), + 2 => f_sdb_embed_device(c_TDC_EIC_DEVICE, x"00012000"), + 3 => f_sdb_embed_device(c_I2C_SDB_DEVICE, x"00013000"), + 4 => f_sdb_embed_device(c_TDC_MEM_SDB_DEVICE, x"00014000")); --------------------------------------------------------------------------------------------------- @@ -217,7 +219,6 @@ architecture rtl of fmc_tdc_mezzanine is -- WISHBONE addresses signal tdc_core_wb_adr : std_logic_vector(31 downto 0); signal tdc_mem_wb_adr : std_logic_vector(31 downto 0); - signal dummy_core_wb_adr : std_logic_vector(31 downto 0); -- 1-wire signal mezz_owr_en, mezz_owr_i : std_logic_vector(0 downto 0); -- I2C @@ -339,19 +340,21 @@ begin tdc_config_wb_dat_o => cnx_master_in(c_WB_SLAVE_TDC_CORE_CONFIG).dat, tdc_config_wb_ack_o => cnx_master_in(c_WB_SLAVE_TDC_CORE_CONFIG).ack, -- WISHBONE for timestamps transfer - tdc_mem_wb_adr_i => wb_tdc_mem_adr_i, - tdc_mem_wb_dat_i => wb_tdc_mem_dat_i, - tdc_mem_wb_stb_i => wb_tdc_mem_stb_i, - tdc_mem_wb_we_i => wb_tdc_mem_we_i, - tdc_mem_wb_cyc_i => wb_tdc_mem_cyc_i, - tdc_mem_wb_ack_o => wb_tdc_mem_ack_o, - tdc_mem_wb_dat_o => wb_tdc_mem_dat_o, - tdc_mem_wb_stall_o => wb_tdc_mem_stall_o); + tdc_mem_wb_adr_i => tdc_mem_wb_adr,--wb_tdc_mem_adr_i, + tdc_mem_wb_dat_i => cnx_master_out(c_WB_SLAVE_TSTAMP_MEM).dat,--wb_tdc_mem_dat_i, + tdc_mem_wb_stb_i => cnx_master_out(c_WB_SLAVE_TSTAMP_MEM).stb,--wb_tdc_mem_stb_i, + tdc_mem_wb_we_i => cnx_master_out(c_WB_SLAVE_TSTAMP_MEM).we,--wb_tdc_mem_we_i, + tdc_mem_wb_cyc_i => cnx_master_out(c_WB_SLAVE_TSTAMP_MEM).cyc,--wb_tdc_mem_cyc_i, + tdc_mem_wb_ack_o => cnx_master_in(c_WB_SLAVE_TSTAMP_MEM).ack,--wb_tdc_mem_ack_o, + tdc_mem_wb_dat_o => cnx_master_in(c_WB_SLAVE_TSTAMP_MEM).dat,--wb_tdc_mem_dat_o, + tdc_mem_wb_stall_o => cnx_master_in(c_WB_SLAVE_TSTAMP_MEM).stall);--wb_tdc_mem_stall_o); -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Convert byte address into word address tdc_core_wb_adr <= "00" & cnx_master_out(c_WB_SLAVE_TDC_CORE_CONFIG).adr(31 downto 2); + tdc_mem_wb_adr <= "00" & cnx_master_out(c_WB_SLAVE_TSTAMP_MEM).adr(31 downto 2); + -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- Unused wishbone signals cnx_master_in(c_WB_SLAVE_TDC_CORE_CONFIG).err <= '0'; @@ -359,6 +362,10 @@ begin cnx_master_in(c_WB_SLAVE_TDC_CORE_CONFIG).stall <= '0'; cnx_master_in(c_WB_SLAVE_TDC_CORE_CONFIG).int <= '0'; + wb_tdc_mem_ack_o <= '0'; + wb_tdc_mem_dat_o <= (others => '0'); + wb_tdc_mem_stall_o <= '0'; + --------------------------------------------------------------------------------------------------- -- TDC Mezzanine Board EEPROM I2C -- diff --git a/hdl/syn/spec/syn_tdc.edf b/hdl/syn/spec/syn_tdc.edf index 69012c6deb3177231bcdc6613e973e2b6a03bc81..42227f7715e066c1f67314bf055e8b0f268276e9 100644 --- a/hdl/syn/spec/syn_tdc.edf +++ b/hdl/syn/spec/syn_tdc.edf @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2014 1 17 9 44 50) + (timeStamp 2014 1 20 17 47 0) (author "Synopsys, Inc.") (program "Synplify Premier" (version "F-2012.03, mapper maprc, Build 943R")) ) @@ -2344,48 +2344,8 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512 "gnum_interface_block.cmp_l2p_dma_master.cmp_data_fifo.gen_fifo_32bit.cmp_fifo_32x512") (viewRef fifo_32x512_a (cellRef fifo_32x512)) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_11") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_19") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_27") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_35") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_43") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_51") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_59") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_67") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_75") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512 "gnum_interface_block.cmp_p2l_dma_master.cmp_to_wb_fifo.gen_fifo_64bit.cmp_fifo_64x512") (viewRef fifo_64x512_a (cellRef fifo_64x512)) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_11") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_19") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_27") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_35") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_43") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_51") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_59") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_67") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_75") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) (instance (rename gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_io_clk_out "gnum_interface_block.cmp_l2p_ser.cmp_clk_out.loop0.0.io_clk_out") (viewRef PRIM (cellRef OBUFDS (libraryRef VIRTEX))) (property IOSTANDARD (string "DIFF_SSTL18_II")) ) @@ -2636,7 +2596,7 @@ (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_5 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c_cry[5]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt7 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c_lt7") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0C8E")) + (property INIT (string "16'h08CE")) ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt0 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_time_c_lt0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h7310")) @@ -5757,6 +5717,8 @@ ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_14 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[14]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[15]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_16 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[16]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_17 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[17]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) @@ -5787,6 +5749,8 @@ ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_30 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[30]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[31]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat[8]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) @@ -5849,6 +5813,8 @@ ) (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old[5]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_0 "cmp_sdb_crossbar.crossbar.matrix_old[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_1 "cmp_sdb_crossbar.crossbar.matrix_old[1]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) @@ -5857,6 +5823,8 @@ ) (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_4 "cmp_sdb_crossbar.crossbar.matrix_old[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_6 "cmp_sdb_crossbar.crossbar.matrix_old[6]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + ) (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_7 "cmp_sdb_crossbar.crossbar.matrix_old[7]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_0 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_cry_0") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) @@ -6207,36 +6175,6 @@ ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_31 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3_s_31") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_0") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_0") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_1") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_2") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_2") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_3") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_3") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_4") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_4") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_5") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_5") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_6") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_6") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_7 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_s_7") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_cry_0 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_cry_0") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_cry_1 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_cry_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) @@ -6397,6 +6335,36 @@ ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_17 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_s_17") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_0") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_0") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_1") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_2") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_2") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_3") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_3") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_4") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_4") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_5") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_5") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_6") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_6") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_7 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_s_7") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cry_2 "cmp_tdc.tdc_core.acam_timing_block.un1_total_delay_cry_2") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cry_3 "cmp_tdc.tdc_core.acam_timing_block.un1_total_delay_cry_3") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) @@ -6629,254 +6597,6 @@ ) (instance (rename cmp_tdc_tdc_core_one_second_block_un1_total_delay_s_31 "cmp_tdc.tdc_core.one_second_block.un1_total_delay_s_31") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_0") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_1 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_1") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_2") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_2 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_2") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_3") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_3 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_3") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_4") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_4 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_4") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_5") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_5 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_5") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_6") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_6 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_6") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_7") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_7 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_7") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_8") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_8 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_8") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_9") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_9 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_9") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_10") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_10 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_10") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_11") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_11 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_11") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_12") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_12 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_12") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_13") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_13 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_13") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_14") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_14 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_14") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_15") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_15 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_15") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_16") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_16 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_16") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_17") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_17 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_17") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_18") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_18 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_18") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_19") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_19 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_19") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_20") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_20 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_20") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_21") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_21 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_21") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_22") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_22 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_22") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_23") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_23 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_23") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_24") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_24 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_24") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_25") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_25 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_25") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_26") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_26 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_26") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_27") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_27 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_27") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_28") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_28 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_28") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_29") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_29 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_29") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_30") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_30 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_30") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_31") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_0") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_1 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_1") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_2") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_2 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_2") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_3") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_3 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_3") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_4") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_4 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_4") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_5") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_5 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_5") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_6") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_6 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_6") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_7") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_7 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_7") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_8") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_8 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_8") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_9") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_9 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_9") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_10") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_10 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_10") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_11") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_11 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_11") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_12") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_12 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_12") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_13") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_13 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_13") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_14") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_14 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_14") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_15") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_15 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_15") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_16") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_16 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_16") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_17") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_17 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_17") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_18") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_18 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_18") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_19") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_19 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_19") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_20") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_20 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_20") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_21") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_21 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_21") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_22") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_22 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_22") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_23") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_23 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_23") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_24") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_24 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_24") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_25") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_25 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_25") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_26") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_26 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_26") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_27") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_27 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_27") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_28") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_28 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_28") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_29") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_29 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_29") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_30") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_30 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_30") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_31") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) (instance (rename gnum_interface_block_cmp_clk_in_un8_counter_1_cry_1 "gnum_interface_block.cmp_clk_in.un8_counter_1_cry_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) (instance (rename gnum_interface_block_cmp_clk_in_un8_counter_1_s_1 "gnum_interface_block.cmp_clk_in.un8_counter_1_s_1") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) @@ -7655,250 +7375,6 @@ ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_31 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter[31]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[1]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[1]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[2]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[2]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[3]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[3]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[4]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[4]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[5]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[5]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[6]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[6]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[7]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[7]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[8]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[8]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[9]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[9]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[10]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[10]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[11]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[11]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[12]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[12]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[13]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[13]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[14]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[14]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[15]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[15]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[16]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[16]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[17]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[17]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[18]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[18]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[19]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[19]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[20]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[20]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[21]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[21]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[22]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[22]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[23]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[23]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[24]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[24]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[25]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[25]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[26]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[26]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[27]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[27]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[28]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[28]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[29]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[29]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry[30]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[30]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_31 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[31]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_1 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[1]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_1 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[1]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_2 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[2]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_2 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[2]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_3 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[3]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_3 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[3]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_4 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[4]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_4 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[4]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_5 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[5]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_5 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[5]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_6 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[6]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_6 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[6]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_7 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[7]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_7 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[7]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_8 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[8]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_8 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[8]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_9 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[9]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_9 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[9]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_10 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[10]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_10 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[10]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_11 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[11]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_11 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[11]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_12 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[12]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_12 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[12]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_13 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[13]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_13 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[13]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_14 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[14]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_14 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[14]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_15 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[15]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_15 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[15]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_16 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[16]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_16 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[16]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_17 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[17]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_17 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[17]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_18 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[18]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_18 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[18]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_19 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[19]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_19 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[19]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_20 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[20]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_20 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[20]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_21 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[21]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_21 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[21]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_22 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[22]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_22 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[22]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_23 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[23]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_23 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[23]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_24 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[24]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_24 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[24]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_25 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[25]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_25 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[25]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_26 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[26]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_26 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[26]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_27 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[27]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_27 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[27]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_28 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[28]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_28 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[28]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_29 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[29]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_29 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[29]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_30 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry[30]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_30 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[30]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_31 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[31]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_cry[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_s[0]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) @@ -8017,254 +7493,6 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_29 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_s[29]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[1]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[1]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[2]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[2]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[3]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[3]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[4]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[4]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[5]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[5]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[6]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[6]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[7]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[7]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[8]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[8]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[9]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[9]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[10]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[10]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[11]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[11]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[12]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[12]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[13]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[13]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[14]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[14]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[15]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[15]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[16]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[16]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[17]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[17]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[18]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[18]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[19]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[19]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[20]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[20]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[21]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[21]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[22]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[22]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[23]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[23]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[24]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[24]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[25]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[25]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[26]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[26]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[27]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[27]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[28]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[28]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[29]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[29]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry[30]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[30]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_31 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[31]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_1 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[1]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_1 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[1]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_2 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[2]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_2 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[2]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_3 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[3]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_3 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[3]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_4 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[4]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_4 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[4]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_5 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[5]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_5 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[5]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_6 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[6]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_6 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[6]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_7 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[7]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_7 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[7]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_8 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[8]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_8 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[8]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_9 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[9]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_9 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[9]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_10 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[10]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_10 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[10]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_11 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[11]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_11 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[11]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_12 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[12]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_12 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[12]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_13 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[13]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_13 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[13]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_14 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[14]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_14 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[14]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_15 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[15]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_15 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[15]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_16 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[16]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_16 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[16]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_17 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[17]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_17 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[17]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_18 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[18]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_18 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[18]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_19 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[19]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_19 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[19]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_20 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[20]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_20 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[20]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_21 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[21]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_21 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[21]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_22 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[22]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_22 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[22]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_23 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[23]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_23 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[23]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_24 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[24]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_24 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[24]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_25 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[25]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_25 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[25]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_26 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[26]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_26 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[26]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_27 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[27]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_27 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[27]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_28 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[28]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_28 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[28]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_29 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[29]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_29 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[29]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_30 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry[30]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_30 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[30]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_31 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[31]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) - (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_cry_0 "cmp_vic.U_Wrapped_VIC.timeout_count_cry[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) - ) - (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_s_0 "cmp_vic.U_Wrapped_VIC.timeout_count_s[0]") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) - ) (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_0 "cmp_vic.U_Wrapped_VIC.timeout_count[0]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) ) (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_cry_1 "cmp_vic.U_Wrapped_VIC.timeout_count_cry[1]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) @@ -10731,101 +9959,105 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_0_s_19") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_0") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_0 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance m2_s_1_i_0_m2_cry_1 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_1 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_1") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_1 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_1") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_2 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_2") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_2 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_2") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_3 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_3 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_3") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_3 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_3 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_3") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_4 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_4 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_4") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_4 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_4") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_5 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_5") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_5 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_5") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_6 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_6") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_6 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_6") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_7 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_7 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_7") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_7 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_7 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_7") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_8 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_8 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_8") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_8 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_8") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_9 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_9 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_9") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_9 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_9") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_10 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_10 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_10") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_10 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_10 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_10") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_11 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_11 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_11") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_11 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_11 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_11") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_12 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_12 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_12") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_12 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_12") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_13 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_13 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_13") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_13 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_13") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_14 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_14 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_14") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_14 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_14 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_14") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_15 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_15 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_15") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_15 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_15 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_15") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_16 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_16 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_16") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_16 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_16 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_16") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_17 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_17 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_17") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_17 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_17 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_17") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_18 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_18 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_18") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_18 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_18 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_18") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_19 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_19 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_19") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_19 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_19") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_20 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_20 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_20") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_20 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_20") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_21 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_21 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_21") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_21 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_21") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_22 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_22 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_22") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_22 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_22 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_22") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_23 (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_23 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_23") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_23 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_23") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_s_24 (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_24") (viewRef PRIM (cellRef XORCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.ack") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_o") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) @@ -10834,17 +10066,29 @@ ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) - ) (instance (rename cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p "cmp_tdc.tdc_core.circular_buffer_block.tstamp_wr_ack_p") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_0 "cmp_tdc.tdc_core.circular_buffer_block.tstamp_rd_wb_st[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_o") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_reg") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[7]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[6]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) - (instance (rename cmp_vic_U_Wrapped_VIC_current_irqcst_4 "cmp_vic.U_Wrapped_VIC.current_irqcst[4]") (viewRef PRIM (cellRef FDRE (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[4]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_2 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_1 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_reg") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_led_status_o_oreg "cmp_tdc.tdc_core.TDCboard_leds.tdc_led_status_o_oreg") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property IOB (string "TRUE")) @@ -10862,13 +10106,13 @@ (property INIT (string "8'h2A")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI1UQF2[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCA333")) + (property INIT (string "32'hA333ACCC")) ) (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNI6B2H_1 "cmp_tdc_clks_rsts_mgment.config_st_RNI6B2H[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8_RNO "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_cry_8_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) + (property INIT (string "4'h9")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_1_RNO "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_cry_1_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h2")) @@ -10876,711 +10120,879 @@ (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNI214L_0 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNI214L[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hC63939C6")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00FF00FF00CACA")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00FF00FF00CACA")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[8]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[9]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[10]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[12]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[16]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_11 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00FF00FF00CACA")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_13 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00FF00CACA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_local_utc_RNO_23 "cmp_tdc.tdc_core.data_formatting_block.local_utc_RNO[23]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o18_lut6_2_RNI5PUE2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hEAFFFFFFFFFFFFFF")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_26 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[26]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_lut6_2_RNINFNE2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hEAFFFFFFFFFFFFFF")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_24 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[24]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNIRAB64[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hECA0FFFFFFFFFFFF")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_12 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[12]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0A5F8ADF2A7FAAFF")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_20 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[20]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_17 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[17]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_13 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[13]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_14 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[14]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_14 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[14]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_29 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[29]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_11 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[11]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_5 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[5]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_24 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[24]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_23 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[23]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[22]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[21]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[20]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[19]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[18]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_18 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[18]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_8 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[8]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_7 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[7]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_i_m3_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3_i_m3[10]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_30 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[30]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_13 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[13]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_15 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[15]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_31 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[31]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_30 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[30]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_29 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[29]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_28 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[28]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_27 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[27]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFAFFEEFFAA")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_26 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[26]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_25 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[25]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_24 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[24]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_23 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[23]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_22 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[22]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_21 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[21]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_20 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[20]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_19 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[19]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_18 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[18]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_17 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[17]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_16 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[16]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_15 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[15]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_12 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[12]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_11 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[11]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[10]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFFF88")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_9 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[9]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_19 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[19]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_16 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[16]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_8 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[8]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_7 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[7]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_6 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[6]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_5 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[5]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFF8FFFFF8F8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[4]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[20]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h3B087F4C")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h3B087F4C")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[2]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0080008000800000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[1]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_50") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[0]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8008200240041001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_21 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[21]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_58") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00280014")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_25 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[25]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_66") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8020080240100401")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[17]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_1_1[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFEFFFCFFFAFFF0")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[15]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11 "cmp_tdc.tdc_core.reg_control_block.acam_config_5_RNIBP5R2[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0105115500000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_9 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[9]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[0]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000080808000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_31 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[31]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_req_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hBB33BF3FBF3FBF3F")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_28 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[28]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00FA000000FE0000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_27 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[27]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23 "cmp_tdc.tdc_core.reg_control_block.dat_out[23]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_7 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[7]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6 "cmp_tdc.tdc_core.reg_control_block.dat_out[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_6 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[6]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3 "cmp_tdc.tdc_core.reg_control_block.dat_out[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_5 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[5]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_2 "cmp_tdc.tdc_core.reg_control_block.dat_out[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_4 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[4]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1 "cmp_tdc.tdc_core.reg_control_block.dat_out[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_3 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_18 "cmp_tdc.tdc_core.reg_control_block.dat_out[18]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_2 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[2]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_10 "cmp_tdc.tdc_core.reg_control_block.dat_out[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_1 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[1]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_9 "cmp_tdc.tdc_core.reg_control_block.dat_out[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[0]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5 "cmp_tdc.tdc_core.reg_control_block.dat_out[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_25 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[25]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13 "cmp_tdc.tdc_core.reg_control_block.dat_out[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[14]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[13]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_11 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[11]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_22 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[22]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_6 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[6]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[4]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[2]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[1]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22 "cmp_tdc.tdc_core.reg_control_block.acam_config_7_RNIPT0F81[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBA30FFFFFFFFFFFF")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNIPEOJ61[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEAC0FFFFFFFFFFFF")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNIMQGR41[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFECA0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFECA0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFF7350")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFECA0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22 "cmp_tdc.tdc_core.reg_control_block.acam_config_5_RNI621511[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hE0EE4044A0AA0000")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFBF5F1FEFAF4F0")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF32DD10EF22CD00")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2 "cmp_tdc.tdc_core.reg_control_block.acam_config_1_RNI8F8NF1[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFB3A0")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[19]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h080BF8FB")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11 "cmp_tdc.tdc_core.reg_control_block.dat_out[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hF7B3C480")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1 "cmp_tdc.tdc_core.reg_control_block.dat_out[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF7C4B380")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15 "cmp_tdc.tdc_core.reg_control_block.dat_out[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF7C4B380")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21 "cmp_tdc.tdc_core.reg_control_block.dat_out[21]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_66") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16 "cmp_tdc.tdc_core.reg_control_block.dat_out[16]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_58") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_17 "cmp_tdc.tdc_core.reg_control_block.dat_out[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4080040810200102")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7 "cmp_tdc.tdc_core.reg_control_block.dat_out[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_82") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8020080240100401")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_30 "cmp_tdc.tdc_core.reg_control_block.dat_out[30]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_50") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_22 "cmp_tdc.tdc_core.reg_control_block.dat_out[22]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFBF3FAF0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31 "cmp_tdc.tdc_core.reg_control_block.dat_out[31]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFBF3FAF0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19 "cmp_tdc.tdc_core.reg_control_block.dat_out[19]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_14 "cmp_tdc.tdc_core.reg_control_block.dat_out[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0040000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEFCFEECC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0004000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_5[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEEEFCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_12") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_5[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000001000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFBF3FAF0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_11") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000400000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNI0I1TL[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_8") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000010000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFB3FFA0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000004000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18 "cmp_tdc.tdc_core.reg_control_block.acam_config_2_RNI6QA6V[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0111055500000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0100000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0010000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000100000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_5[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000400000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEEEFAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIAB8B7_7") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000040000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25 "cmp_tdc.tdc_core.reg_control_block.dat_out[25]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFBF3FAF0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_0 "cmp_tdc.tdc_core.reg_control_block.dat_out[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26 "cmp_tdc.tdc_core.reg_control_block.dat_out[26]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8 "cmp_tdc.tdc_core.reg_control_block.dat_out[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEFAEEAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27 "cmp_tdc.tdc_core.reg_control_block.dat_out[27]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFBF3FAF0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12 "cmp_tdc.tdc_core.reg_control_block.dat_out[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFEEEFAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24 "cmp_tdc.tdc_core.reg_control_block.dat_out[24]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFBF3FAF0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4 "cmp_tdc.tdc_core.reg_control_block.dat_out[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF7C4B380")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFBF3FAF0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_11 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_11") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_req_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hBB33BF3FBF3FBF3F")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_stb_t_e "gnum_interface_block.cmp_wbmaster32.wb_stb_t_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hE0E0626262E06262")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00FA000000FE0000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5 "cmp_tdc.tdc_core.reg_control_block.dat_out[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hF7F40704")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7 "cmp_tdc.tdc_core.reg_control_block.dat_out[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFB73C840")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_17 "cmp_tdc.tdc_core.reg_control_block.dat_out[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFBF80B08")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13 "cmp_tdc.tdc_core.reg_control_block.dat_out[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFBF80B08")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_14 "cmp_tdc.tdc_core.reg_control_block.dat_out[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFBF80B08")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_22 "cmp_tdc.tdc_core.reg_control_block.dat_out[22]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFBF80B08")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12 "cmp_tdc.tdc_core.reg_control_block.dat_out[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFCCA00000CCA0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3 "cmp_tdc.tdc_core.reg_control_block.dat_out[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFBF80B08")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFA50EA40BA10AA00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO "cmp_tdc.tdc_core.acam_timing_block.start_trig_received_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hECEEEEEEEEEEEEEE")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25 "cmp_tdc.tdc_core.reg_control_block.acam_config_4_RNIHCLV[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0007007707077777")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25 "cmp_tdc.tdc_core.reg_control_block.acam_config_2_RNI8T2I[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0103050F113355FF")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFAEEAAFCF0CC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25 "cmp_tdc.tdc_core.reg_control_block.acam_config_1_RNI3MIE[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0103050F113355FF")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFAEEAAFCF0CC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_2[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFEEAACF0FCC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNI62JOF[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEEEFCCCFAAAF000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_6_RNIARFGK[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFBFBBAFAA")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_2[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNI5SH5E[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18 "cmp_tdc.tdc_core.reg_control_block.acam_config_1_RNIDPLCF[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h103050F0113355FF")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_0[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFFEFCEECC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_1[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_2[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEEEFCCCFAAAF000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27 "cmp_tdc.tdc_core.reg_control_block.acam_config_4_RNINSLV[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0007007707077777")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27 "cmp_tdc.tdc_core.reg_control_block.acam_config_2_RNIEL3I[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0103050F113355FF")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27 "cmp_tdc.tdc_core.reg_control_block.acam_config_1_RNI9EJE[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0103050F113355FF")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFAFCF0FEEAACC00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFCEECCFAF0AA00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_6_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3500000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22 "cmp_tdc.tdc_core.reg_control_block.acam_config_2_RNIV91TC[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFC0D0E0F0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000010000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_11") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000001000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_13") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_7") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000100000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0040000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_8") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000040000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_12") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000400000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000400000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0004000000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11 "cmp_tdc.tdc_core.reg_control_block.acam_config_2_RNIS5UO[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0013005F13135F5F")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000004000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIPLRL[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0103050F113355FF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0010000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0100000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e "gnum_interface_block.cmp_wbmaster32.wb_cyc_t_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h6262E262")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFCFAF0EECCAA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0AA33")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_10_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000003500")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h3333550F")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_p2l_o_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0CACACACACACACAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0AA33")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_l2p_o_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0C5C5C5C5C5C5C5C")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hCCCCAA0F")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_RNO[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hB3F3F3F3")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0AA33")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hDCCC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0AA33")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000557F000055FF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0AA33")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNILGG88 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNILGG88") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h40000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0AA33")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO "cmp_tdc.tdc_core.acam_timing_block.start_trig_received_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCEEEEEEEEEEEEEEE")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0F0AA33")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO "cmp_tdc.tdc_core.acam_timing_block.start_from_fpga_o_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0600000000000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h330A33AA330033AA")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000040000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF022F0AAF000F0AA")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_1_1_RNO[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000040000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF202FA0AF000FA0A")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1284_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF202FA0AF000FA0A")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1285_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF202FA0AF000FA0A")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1286_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1193_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1287_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1194_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1288_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1196_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1289_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1197_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1290_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1200_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1291_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1202_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1292_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1205_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1293_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1206_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1294_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1210_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1295_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1214_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1296_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1215_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1297_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1216_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1298_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1217_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF7F5F5F5F5F5F5F5")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1299_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1218_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1218_N_6_i") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'hD")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1300_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9 "cmp_tdc.tdc_core.one_second_block.onesec_counter_en_RNIEUNI9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFBFAFAFAFAFAFAFA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1301_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_oc "cmp_tdc.tdc_core.acam_timing_block.start_from_fpga_oc") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h06000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1302_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1303_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1304_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1305_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1306_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_0[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFAFBBAA3F0F3300")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1307_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_5_RNIETBM6[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1308_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1309_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1316_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2 "cmp_tdc.tdc_core.reg_control_block.acam_config_7_RNIN1ES7[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1317_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1319_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18 "cmp_tdc.tdc_core.reg_control_block.acam_config_5_RNIGSEM[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h40504455C0F0CCFF")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1320_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1323_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1325_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1325_N_6_i") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'hD")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1328_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1329_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_0[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1333_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFAFBBAA3F0F3300")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1337_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1338_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1339_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1340_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1341_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7F5F5F5F5F5F5F5")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFEEAFAACFCC0F00")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9 "cmp_tdc.tdc_core.one_second_block.onesec_counter_en_RNIEUNI9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFBFAFAFAFAFAFAFA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFAFBBAA3F0F3300")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBBAFAA3F330F00")) ) (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o_e "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_is_zero_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hC850505050505050")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.isda_oen_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hA0AACCCCA3AAFFFF")) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_dma_ctrl_done_t_e "gnum_interface_block.cmp_p2l_dma_master.dma_ctrl_done_t_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hAB00ABAAAA00AAAA")) ) @@ -11588,52 +11000,52 @@ (property INIT (string "64'hF0FF2020F0FF0000")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_s_RNO[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILINS2_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNILINS2[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIC3RA3_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIC3RA3[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJANS2_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIJANS2[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIARQA3_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIARQA3[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIH2NS2_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIH2NS2[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8JQA3_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNI8JQA3[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFQMS2_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIFQMS2[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6BQA3_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNI6BQA3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDIMS2_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIDIMS2[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI43QA3_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNI43QA3[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNITR5L2_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNITR5L2[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIKC933_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIKC933[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIRR5L2_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIRR5L2[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIIC933_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIIC933[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIPR5L2_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIPR5L2[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIGC933_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIGC933[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNINR5L2_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNINR5L2[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIEC933_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIEC933[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILR5L2_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNILR5L2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNICC933_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNICC933[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJR5L2_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIJR5L2[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIAC933_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIAC933[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIHR5L2_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIHR5L2[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8C933_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNI8C933[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFR5L2_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIFR5L2[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6C933_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNI6C933[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDR5L2_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIDR5L2[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI4C933_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNI4C933[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIBR5L2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNIBR5L2[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3353333353533333")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI2C933_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_RNI2C933[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555533333333")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0103030303030303")) @@ -11650,20 +11062,8 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFF0FFE0FFF0FFC0")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66 "cmp_tdc.tdc_core.acam_timing_block.start_trig_received_RNIBBM66") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hEAAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00FF00ACAC0000")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00FF00ACAC0000")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_2[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hF5E4B1A0")) - ) (instance pll_sdi_o_obuf_RNO (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hF5F30503F0F30003")) + (property INIT (string "64'hFCDD3011CCDD0011")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACAFACA0")) @@ -11947,11 +11347,23 @@ (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_31 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_lm_0[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFDFCFCFC20303030")) ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_926_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_926_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000070F00000F0F")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNI7QOD7_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0400000000000000")) + ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_1 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF4F0F0F0F0F0F0F0")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1110000000000000")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e "cmp_tdc.cmp_tdc_eic.eic_ier_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3B2A2A2A2A2A2A2A")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0F000E0202020202")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e "gnum_interface_block.cmp_l2p_dma_master.data_fifo_rd_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFF33FF00BBBBFA0A")) @@ -11968,163 +11380,148 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_23 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hD0F0DFFFF0F0FFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNILKSU1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_7_RNILKSU1") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000100")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hBF37BF37BF378C04")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1279_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0405050505050505")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22 "cmp_tdc.tdc_core.reg_control_block.acam_config_1_RNICCUU4[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFEDFFEFFFFDFFFF")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1280_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0405050505050505")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAAAAAAAB00000001")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1281_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0405050505050505")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAAAAAAAB00000001")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1282_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0405050505050505")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAAAAAAAB00000001")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1283_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0405050505050505")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h1FFF10FF1F001000")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1310_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1310_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1187_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1187_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h01111111")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1311_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1188_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1312_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1189_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1313_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1190_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1314_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1191_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1315_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1192_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1318_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1195_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1321_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1198_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1322_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1199_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1324_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1201_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1326_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1203_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1327_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1204_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1330_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1207_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1331_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1208_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1332_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1209_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1334_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1211_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1335_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1212_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1336_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_1213_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0405050505050505")) ) (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_RNO_0 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hDCCCCCCC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000C0EA000000AA")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_e_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr_e[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2EAAAAAAAAAAAAAA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000100")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_irq_master_o_e "cmp_vic.U_Wrapped_VIC.irq_master_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h555555555AAA5CCC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00CE000A")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_wr_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hC0C5C5C5C5C5C5C5")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAFA8808AAAA8888")) + (property INIT (string "64'hFAAA0888AAAA8888")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAF8880AAAA8888")) + (property INIT (string "64'hAFAA8088AAAA8888")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAFA8808AAAA8888")) + (property INIT (string "64'hFAAA0888AAAA8888")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAF8880AAAA8888")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e "gnum_interface_block.cmp_wbmaster32.wb_cyc_t_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h646464E4")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIU83D2_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIU83D2[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h03FF5555")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIT83D2_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIT83D2[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hFCFF5555")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS83D2_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIS83D2[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h30FF5555")) + (property INIT (string "64'hAFAA8088AAAA8888")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI593D2_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNI593D2[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h30CC000055555555")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS4Q83_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIS4Q83[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0F00C0C055555555")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_s_RNO[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICK8C1_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNICK8C1[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SRO2_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI1SRO2[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAG8C1_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIAG8C1[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNRO2_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIVNRO2[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8C8C1_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI8C8C1[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJRO2_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNITJRO2[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIV54L1_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIV54L1[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIKDN13_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIKDN13[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITT3L1_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNITT3L1[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII5N13_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNII5N13[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIK4QC1_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIK4QC1[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI9CDP2_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI9CDP2[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII0QC1_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNII0QC1[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI78DP2_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI78DP2[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIGSPC1_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIGSPC1[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI54DP2_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI54DP2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIEOPC1_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIEOPC1[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI30DP2_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI30DP2[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICKPC1_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNICKPC1[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SCP2_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI1SCP2[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAGPC1_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIAGPC1[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNCP2_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIVNCP2[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8CPC1_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI8CPC1[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJCP2_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNITJCP2[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI68PC1_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNI68PC1[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIRFCP2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_RNIRFCP2[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h35555555FFFFFFFF")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1_0 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h2AAA")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_0 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) @@ -12139,6 +11536,9 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_3 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h00000002")) ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00000002")) + ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_5 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h00000002")) ) @@ -12154,308 +11554,260 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_9 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h00000002")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNIA1PP2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait_RNIA1PP2") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF7FF77FF")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9 "cmp_tdc.tdc_core.acam_timing_block.acam_intflag_f_edge_p_o_RNIR06L9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o_RNIHLHG4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_7_RNIDPL92") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000100000")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_7_RNIDPL92_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000040000")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_pending_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h11D1D1D100C0C0C0")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1052_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1052_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1053_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1053_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1054_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1054_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1055_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1055_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1056_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1056_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1057_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1057_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1058_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1058_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1059_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1059_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1060_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1060_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1061_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1061_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1062_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1062_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1063_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1063_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1064_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1064_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1065_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1065_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1066_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1066_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1067_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1067_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1068_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1068_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1069_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1069_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1070_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1070_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1071_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1071_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8 "cmp_tdc.tdc_core.acam_timing_block.acam_intflag_f_edge_p_o_RNIOR3K8") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1072_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1072_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_27[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACAC00FF")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1073_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1073_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_27[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACAC00FF")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1074_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1074_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_27[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACAC00FF")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1075_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1075_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h5353FF00")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1076_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1076_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_27[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACAC00FF")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1077_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1077_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_27[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACAC00FF")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1078_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1078_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_27[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACAC00FF")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1079_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1079_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNIF81H8_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00200000")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1080_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1080_m1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h2AAAAAAA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_966_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1113_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_990_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1114_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_991_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1115_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_992_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1116_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_993_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1117_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_994_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1118_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_995_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1119_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_996_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1120_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_997_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1121_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_998_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1122_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_999_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1123_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1000_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1124_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1001_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1125_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1002_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1126_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1003_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1127_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1004_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1128_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1005_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1129_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1006_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1130_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1007_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1131_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1008_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1132_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1009_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1133_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1010_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1134_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1011_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1135_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1012_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1136_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1013_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1137_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1014_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1138_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1015_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1139_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1016_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1140_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1017_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1141_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1018_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1142_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1019_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1143_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1020_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1144_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1021_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1154_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1031_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1155_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1032_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1156_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1033_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1157_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1034_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1158_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1035_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1159_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1036_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1160_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1037_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1161_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1038_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1162_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1039_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1163_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1040_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1164_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1041_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1165_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1042_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1166_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1043_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1167_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1044_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1168_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1045_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1169_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1046_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1170_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1047_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1171_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1048_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1172_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1049_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1173_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1050_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1174_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1051_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1175_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1052_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1176_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1053_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1177_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1054_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1178_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1055_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1179_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1056_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1180_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1057_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1181_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1058_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1182_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1059_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1183_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1060_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1184_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1061_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1185_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_1062_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hEAAAAAAAAAAAAAAA")) ) - (instance (rename gnum_interface_block_rst_reg_RNI133T1 "gnum_interface_block.rst_reg_RNI133T1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0002020202020202")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hB988FFFF8888FFFF")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hF4FF44FFF4F44444")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIHRL37 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNIHRL37") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00800000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0002020202020202")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.6.selected_2[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF800000000000000")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_idr_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2322222222222222")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_ier_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2222232222222222")) + ) + (instance (rename cmp_dma_eic_eic_ier_write_int_e "cmp_dma_eic.eic_ier_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3B2A2A2A2A2A2A2A")) + ) + (instance (rename cmp_dma_eic_eic_isr_write_int_e "cmp_dma_eic.eic_isr_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h7570707070707070")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_done_irq_e "gnum_interface_block.cmp_dma_controller.dma_done_irq_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hAA22AA22AF22AA22")) @@ -12466,11 +11818,26 @@ (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNO[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFEFF01FFFFFF00FF")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF555E444B111A000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNIHA7O6_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNIHA7O6[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF0001")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000C0EA000000AA")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8B88888803000000")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hCCAACFAF00000000")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e "cmp_tdc.cmp_tdc_eic.eic_idr_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2A2A3B2A2A2A2A2A")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e "cmp_tdc.cmp_tdc_eic.eic_isr_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h7570707070707070")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_swir_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2333222222222222")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_irq_master_o_e "cmp_vic.U_Wrapped_VIC.irq_master_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h555555555AAA5CCC")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_e "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_req_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFF2A2AFFFFFF2A")) @@ -12479,91 +11846,208 @@ (property INIT (string "64'h2EEEEEEEEEEEEEEE")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFAAA0888AAAA8888")) + (property INIT (string "64'hAAFA8808AAAA8888")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAFAA8088AAAA8888")) + (property INIT (string "64'hAAAF8880AAAA8888")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstarth_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAFAA8088AAAA8888")) + (property INIT (string "64'hAAAF8880AAAA8888")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFAAA0888AAAA8888")) + (property INIT (string "64'hAAFA8808AAAA8888")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIK7JS2_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIK7JS2[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h30CC000055555555")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIGUSK2_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIGUSK2[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h03FF5555")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIFUSK2_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIFUSK2[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h03FF5555")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO "gnum_interface_block.cmp_p2l_dma_master.l2p_last_packet_RNO") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00030001")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNO "gnum_interface_block.cmp_p2l_dma_master.l2p_64b_address_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h7FFFFFFFFFFFFFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_RNIEA8F2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_1_RNIEA8F2") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hDF5F")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_1_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA5990099A5000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_19_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_11_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hA5990099A5000000")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO "gnum_interface_block.cmp_p2l_dma_master.l2p_last_packet_RNO") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h00030001")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_19_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA5990099A5000000")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000A00000002")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_27_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA599A50000990000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNICDD36[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hEAAAAAAAAAAAAAAA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_35_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA599A50000990000")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h70507755FFFFFFFF")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_1_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA599A50000990000")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_35_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA599A50000990000")) ) (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h7FFFFFFF")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h54F0F0F0F0F0F0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF57FF0200570002")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF57FF0200570002")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF005757FF000202")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h030355FF030300AA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hEEEFCCCD22230001")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_pending_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h11D1D1D100C0C0C0")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFACC00CC00000000")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_pending_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h11D1D1D100C0C0C0")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_pending_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h11D1D1D100C0C0C0")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_RNI5RBT2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0_RNI5RBT2") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h80FF")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNI1IS73 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait_RNI1IS73") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hD555FFFF")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNICDD36[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEAAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_958_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_959_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1081_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1081_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_960_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_961_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_962_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_963_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_963_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1090_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1090_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_964_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_965_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_967_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_967_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h7FFFFFFF")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_968_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un7_counterdup") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hEAAAAAAA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_969_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF0000FF010000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_970_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_971_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFF20F020FFFFFFFF")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_972_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0800880000000000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_973_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFBAFF30AAAA0000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_974_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3700000000000000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_975_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e "cmp_tdc.cmp_tdc_eic.eic_idr_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2A2A3B2A2A2A2A2A")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_976_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e "cmp_tdc.cmp_tdc_eic.eic_ier_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2A2A3B2A2A2A2A2A")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_977_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e "cmp_tdc.cmp_tdc_eic.eic_isr_write_int_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h70757070")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_978_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_eoir_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3222222222222222")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_979_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_idr_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3222222222222222")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_980_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_ier_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2232222222222222")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_981_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_swir_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2232222222222222")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_982_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_983_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_984_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_985_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_986_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_987_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_988_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_989_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h2AAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h7FFFFFFF")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un7_counterdup") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hEAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hEEEEAEAACCCC0C00")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFF33330200")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFC00FFFFF800")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0080000000000000")) ) (instance (rename Global_rst_generation_rst_cnt_4_iv_i_1 "Global_rst_generation.rst_cnt_4_iv_i[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hAA80AA00AA00AA00")) @@ -12575,10 +12059,10 @@ (property INIT (string "64'hAAAA8000AAAA0000")) ) (instance (rename Global_rst_generation_rst_cnt_4_iv_i_4 "Global_rst_generation.rst_cnt_4_iv_i[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAAAAA80000000")) + (property INIT (string "64'hAAAA8000AAAA0000")) ) (instance (rename Global_rst_generation_rst_cnt_4_iv_i_5 "Global_rst_generation.rst_cnt_4_iv_i[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAAAAA80000000")) + (property INIT (string "64'hAAAA8000AAAA0000")) ) (instance (rename Global_rst_generation_rst_cnt_4_iv_i_6 "Global_rst_generation.rst_cnt_4_iv_i[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hAAAAAAAA80000000")) @@ -12586,35 +12070,44 @@ (instance (rename Global_rst_generation_rst_cnt_4_iv_i_7 "Global_rst_generation.rst_cnt_4_iv_i[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hAAAAAAAA80000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h7F737C704F434C40")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4C084C084C087F3B")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_0_sqmuxa") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hAA00AB0100000000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0080000300000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h7F7C4F4C73704340")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF9000FFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h7F737C704F434C40")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.core_txd_7") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hCCFAAACA00000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h7F737C704F434C40")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hEFEC2320")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hEF23EC20")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hEF23EC20")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAE04AA0000000000")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hBFBCB3B08F8C8380")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_10 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_lm_0[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h1F0F0F0F10000000")) ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e "gnum_interface_block.cmp_p2l_decode32.p2l_data_cycle_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00BA00AA00AA00")) - ) - (instance (rename cmp_dma_eic_eic_idr_write_int_e "cmp_dma_eic.eic_idr_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3B2A2A2A2A2A2A2A")) + (instance (rename cmp_tdc_cmp_tdc_eic_ack_sreg_RNIPHMF6_0 "cmp_tdc.cmp_tdc_eic.ack_sreg_RNIPHMF6[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h13030000")) ) - (instance (rename cmp_dma_eic_eic_ier_write_int_e "cmp_dma_eic.eic_ier_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3B2A2A2A2A2A2A2A")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_12_i_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001000000000000")) ) - (instance (rename cmp_dma_eic_eic_isr_write_int_e "cmp_dma_eic.eic_isr_write_int_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h7570707070707070")) + (instance (rename cmp_dma_eic_eic_idr_write_int_e "cmp_dma_eic.eic_idr_write_int_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h3B2A2A2A")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hABABABABABABA8AB")) @@ -12622,164 +12115,167 @@ (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_lw_read_in_progress_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hA8A8F0A8")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_r_2 "cmp_sdb_crossbar.crossbar.matrix_old_r[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAA00000080008000")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_RNIO9961[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_a2_0_RNI3GLJ1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000FEFF0000FFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.data_cnt.dcnt_4_iv_i[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00000000FFFFAAA6")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0_RNI5ACU "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.op_eq.un1_filter_cnt_0_RNI5ACU") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hEAAA")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un12_l2p_dma_current_state_i_0_a2_0_a4_0_a2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un12_l2p_dma_current_state_i_0_a2_0_a4_0_a2") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h4")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[25]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hF0DDF088")) ) (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFF0F0F0800")) + (property INIT (string "64'hFFFFFFFFCC808080")) ) (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFF0F0F0800")) + (property INIT (string "64'hFFFFFFFFCC808080")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[25]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0DDF088")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[21]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[18]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[27]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF0DDF088")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[31]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hF0DDF088")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0DDF088")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_RNIE7RQ3_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_24_RNIE7RQ3[19]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF2F70207")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[20]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF0DDF088")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0DDF088")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hF022F077")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0DDF088")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0DDF088")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[23]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hF7F20702")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hF022F077")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[22]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFDF80D08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[24]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF0DDF088")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[26]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF0DDF088")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[30]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF0DDF088")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0ACA0A0A00000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_33[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDF80D08")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hCA0A0A0A00000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_bus_wen_pwr_sel_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hF8F0")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0ACA0A00000000")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_21[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h54F0F0F0F0F0F0F0")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hCA0A0A0A00000000")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_5 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h2200E2C0")) ) (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_0[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF7FFF7FF070F0000")) + (property INIT (string "64'h33FFFFFF00808080")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAFCFAACC00000000")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0 "cmp_sdb_crossbar.crossbar.matrix_old_RNI37IB3[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333333333333337")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1022_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1022_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h2A")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1023_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1023_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h2A")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1024_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1024_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h2A")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1027_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1027_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h2A")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1028_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1028_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h2A")) ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h80000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_RNO") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h00800000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFF4000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_d") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFC000000F8000000")) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFF02")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_0_3") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_3") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFF05370505")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_1_sqmuxa_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_1_sqmuxa_1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h055504440FFF0CCC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_9[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFF4")) ) (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_1[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h88FF88F888FF8888")) + (property INIT (string "64'hFEFCFCFCEECCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.iscl_oen_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h8BAA88AAFFFFFFFF")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_1_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.6.selected_2_1[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCCC80000")) ) (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAF0F0CCCCFF00")) + (property INIT (string "64'h00CCACCCFFCCACCC")) ) (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAFF00F0F0CCCC")) + (property INIT (string "64'h00AACAAAFFAACAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNIN0L12[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h550CFCFC5500F0F5")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIF8PC1 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_m6_lut6_2_RNIF8PC1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h5300")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.core_txd_7") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCFCAACAA00000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00000000FFFFAA9A")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_al.ial_4_u") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00004F0F00004400")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0CAA00AA00000000")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO "gnum_interface_block.cmp_wbmaster32.wb_stb_t_e_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h153F000F00000000")) ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_e_0 "gnum_interface_block.cmp_p2l_decode32.p2l_addr_e[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) @@ -12787,6 +12283,12 @@ (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e "gnum_interface_block.cmp_p2l_decode32.p2l_addr_cycle_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h1010FF00")) ) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e "gnum_interface_block.cmp_p2l_decode32.p2l_data_cycle_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00BA00AA00AA00")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.iscl_oen_e") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hE2")) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_rd_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0000CCCC0000DCCC")) ) @@ -12805,107 +12307,155 @@ (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h00000000000000AB")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_RNO_0 "cmp_vic.U_Wrapped_VIC.state_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0A0F8A800000000")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_2 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_3 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_4 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_7 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) ) - (instance (rename cmp_carrier_csr_ack_in_progress_RNIUVU81 "cmp_carrier_csr.ack_in_progress_RNIUVU81") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1100450000000000")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_8 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_9 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_10 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_11 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_12 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_13 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_14 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_15 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_16 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[16]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_17 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_18 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[18]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hA0CC0CCC")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0CCF0CCF0AAF000")) + (property INIT (string "64'hF0F0CCCCF0F0AA00")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state_ns_0_0_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h00000040FF00FF40")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_next_l_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0800000000000000")) @@ -12913,158 +12463,203 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_0_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_carrier_addr_o_0_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNIULTC1[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFF40000000")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d1_9[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0888888888888888")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h54F0F0F0F0F0F0F0")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d2_8[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0888888888888888")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7_0[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0000000220022")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_19[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2AAAAAAA00000000")) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNO_0 "cmp_vic.U_Wrapped_VIC.state_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFAFAFA7200880000")) ) (instance (rename cmp_carrier_csr_rddata_reg_22_3 "cmp_carrier_csr.rddata_reg_22[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAACCAAF000000000")) + (property INIT (string "64'h00000000F0AACCAA")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_10[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2AAAAAAA00000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7_0[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0000000220022")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d2_4[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0888888888888888")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un40_mbusy_0_lut6_2_RNIROKT1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FFFF0001FFFF")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d1_5[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0888888888888888")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEEECAAA0")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d0_5[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2AAAAAAA00000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEEECAAA0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_un1_rst "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.un1_rst") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEAAA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEEECAAA0")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un29_mbusy_2_lut6_2_RNILSAH3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF0000FFFF0001")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEEECAAA0")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1145_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1145_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hC900C800CC00CC00")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1146_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1146_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1147_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1147_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1148_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1148_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1149_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1149_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1150_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1150_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEEECAAA0")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hC900C800CC00CC00")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEEECAAA0")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFF8F8F888")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEEECAAA0")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEEECAAA0")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFEFFFFFFFF")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCC80")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d0_9[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h2AAAAAAA00000000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFF4CCC")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFCCC8")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_a5_0_0[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0F020F000F000F00")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_3_4") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINEHA4_15 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNINEHA4[15]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNID6HA4_30 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNID6HA4[30]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h4000")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNIEM034 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_2_0_RNIEM034") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNI0G873 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_2_0_RNI0G873") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8000")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2_0_1[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3FFF3FFF3FFF2EEE")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_8[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_1 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_2_i_s_1_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hD555DDDDD555D555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h55555F7700000F33")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_75_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h7FBFDFEFF7FBFDFE")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_1[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFF00005300")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_67_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h7FBFDFEFF7FBFDFE")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h55555F7700000F33")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_42") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_1[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFF00005300")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h55555F7700000F33")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0_1[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFF00003500")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_5") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0010010000000000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o_r_e_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_34") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2_0_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFDED")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_26") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_5_3") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h1000000000000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_vic_U_Wrapped_VIC_current_irq_0_e_0 "cmp_vic.U_Wrapped_VIC.current_irq_0_e[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h2E2A0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_42") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_67_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h7FBFDFEFF7FBFDFE")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_27_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h7FBFDFEFF7FBFDFE")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_4") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h02040000")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8040201008040201")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_34") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_26") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8040201008040201")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_34") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8040201008040201")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_stop_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00000CAA000000AA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_7_RNIB2VH1[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hA0C0F0F0A0C00000")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_imr_e_0 "cmp_vic.U_Wrapped_VIC.vic_imr_e[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h54F0F0F0")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_imr_e_1 "cmp_vic.U_Wrapped_VIC.vic_imr_e[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h54F0F0F0")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_0 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h77227222")) @@ -13099,118 +12694,76 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_9 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF0CCF0AA")) ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO "gnum_interface_block.cmp_l2p_arbiter.arb_pdm_gnt_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000000AA00000002")) - ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_pending_10[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000F400F400F400")) - ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1 "cmp_dma_eic.eic_irq_controller_inst.irq_pending_19[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000F400F400F400")) - ) - (instance (rename cmp_carrier_csr_rddata_reg_22_0 "cmp_carrier_csr.rddata_reg_22[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAF0AA00CCCC0000")) - ) - (instance (rename cmp_carrier_csr_rddata_reg_22_1 "cmp_carrier_csr.rddata_reg_22[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAF0AA0033330000")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNIPBAF1_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_RNIPBAF1[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFF80")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance swi_mask_1_sqmuxa_i (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hEAFF")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.irq_flag_RNO") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h5554")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_11[18]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h35FF3500")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16 "cmp_tdc.tdc_core.reg_control_block.start_phase_RNITMP33[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0C0F0F0A0C0000")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dac_word_RNIK1QL1_19 "cmp_tdc.tdc_core.reg_control_block.dac_word_RNIK1QL1[19]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h35FF3500")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_1[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00003500000035FF")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_10[23]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h35FF3500")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_pending_10[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000F400F400F400")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1 "cmp_dma_eic.eic_irq_controller_inst.irq_pending_19[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000F400F400F400")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1 "cmp_vic.U_Wrapped_VIC.swi_mask_RNO[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h70000000")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_vic_U_Wrapped_VIC_swi_mask_RNO_0 "cmp_vic.U_Wrapped_VIC.swi_mask_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h70000000")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_carrier_csr_rddata_reg_22_1 "cmp_carrier_csr.rddata_reg_22[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000333300AAF0AA")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_carrier_csr_rddata_reg_22_0 "cmp_carrier_csr.rddata_reg_22[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000CCCC00AAF0AA")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_RNIKBMA2[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001000000000000")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFF8F8F888")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_0_a3_0_a2_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFFFFFFFA8")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h333300FF55550F0F")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFA8")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFA8")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFA8")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto9_i_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFA8")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFA8")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFA8")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFA8")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_host_addr_l_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) @@ -13219,6 +12772,9 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_next_h_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0400000000000000")) ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto9_i_a2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h1000000000000000")) + ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address_e_RNO_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0001000000000000")) ) @@ -13240,47 +12796,41 @@ (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_3 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_30_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h1000000000000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIC4L94[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI3OHH1[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIUNER2[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI1HPC3[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNID7BH[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100000000")) - ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNICPI73[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI68FR2[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIQ5N9[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNISJE81[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIIBE81[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIM5P02[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h4000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_2_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) + (instance (rename cmp_carrier_csr_ack_sreg_RNI729T4_0 "cmp_carrier_csr.ack_sreg_RNI729T4[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h55C000C000C000C0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000503")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_1_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFFFFFFE00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_0_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_1_0[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00005300")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1 "cmp_tdc.tdc_core.data_formatting_block.un6_un_current_retrig_from_roll_over_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF0000FFFE0000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0_1[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000053")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_12 "gnum_interface_block.cmp_dma_controller.dma_ctrl_host_addr_h_o_RNO[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts_e_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001000000000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNO[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h0AAA0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_0 "gnum_interface_block.cmp_dma_controller.dma_ctrl_host_addr_h_o_RNO[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h0AAA0CCC")) @@ -13294,6 +12844,9 @@ (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNO[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h0AAA0CCC")) ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNO[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h0AAA0CCC")) + ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_10 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[10]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFCFA")) ) @@ -13312,91 +12865,79 @@ (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI7RMM1[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h003CAA05")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hE020")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_3") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0810")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hE020")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.ial_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00004F0F00004400")) - ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_5[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE020")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_1 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_lm_0[1]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) @@ -13486,9 +13027,6 @@ (instance (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_29 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_lm_0[29]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hB380")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_0 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_lm_0[0]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hC505")) - ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_1 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_lm_0[1]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hB380")) ) @@ -13576,190 +13114,190 @@ (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_29 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_lm_0[29]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hB380")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_861_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_861_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_802_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_802_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_862_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_862_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_803_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_803_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_863_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_863_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_804_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_804_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_864_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_864_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_805_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_805_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_865_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_865_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_806_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_806_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_866_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_866_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_807_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_807_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_867_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_867_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_808_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_808_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_868_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_868_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_809_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_809_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_869_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_869_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_810_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_810_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_870_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_870_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_811_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_811_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_871_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_871_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_812_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_812_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_872_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_872_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_813_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_813_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_873_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_873_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_814_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_814_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_874_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_874_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_815_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_815_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_875_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_875_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_816_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_816_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_876_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_876_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_817_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_817_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_877_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_877_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_818_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_818_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_878_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_878_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_819_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_819_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_879_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_879_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_820_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_820_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_880_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_880_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_821_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_821_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_881_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_881_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_822_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_822_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_882_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_882_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_823_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_823_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_883_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_883_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_824_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_824_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_884_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_884_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_825_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_825_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_885_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_885_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_826_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_826_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_886_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_886_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_827_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_827_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_887_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_887_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_828_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_828_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_888_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_888_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_829_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_829_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_889_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_889_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_830_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_830_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_890_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_890_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_831_b0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_831_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1246_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1246_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1123_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1123_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1247_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1247_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1124_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1124_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1248_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1248_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1125_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1125_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1249_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1249_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1126_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1126_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1250_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1250_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1127_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1127_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1251_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1251_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1128_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1128_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1252_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1252_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1129_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1129_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1253_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1253_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1130_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1130_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1254_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1254_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1131_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1131_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1255_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1255_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1132_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1132_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1256_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1256_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1133_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1133_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1257_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1257_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1134_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1134_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1258_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1258_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1135_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1135_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1259_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1259_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1136_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1136_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1260_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1260_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1137_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1137_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1261_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1261_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1138_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1138_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1262_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1262_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1139_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1139_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1263_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1263_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1140_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1140_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1264_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1264_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1141_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1141_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1265_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1265_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1142_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1142_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1266_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1266_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1143_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1143_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1267_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1267_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1144_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1144_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1268_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1268_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1145_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1145_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1269_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1269_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1146_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1146_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1270_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1270_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1147_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1147_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1271_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1271_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1148_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1148_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1272_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1272_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1149_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1149_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1273_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1273_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1150_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1150_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1274_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1274_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1151_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1151_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1275_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1275_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1152_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1152_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1276_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1276_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1153_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1153_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1277_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1277_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_local_utc_1154_b0 "cmp_tdc.tdc_core.one_second_block.local_utc_1154_b0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_8 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4_axb_8") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) @@ -13921,8 +13459,14 @@ (instance (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_31 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4_axb_31") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hACCC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000400000002")) + (instance pll_sdi_o_obuf_RNO_1 (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h88800800")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_0[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h550F3300")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3500000035FF0000")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr_e "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_wr_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hAAAA3000")) @@ -13933,541 +13477,403 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_valid_o_e "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_valid_o_e") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hF222")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_cyc_t_e "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_cyc_t_e") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hDCFC")) - ) (instance (rename gnum_interface_block_cmp_clk_in_rst_clk_e "gnum_interface_block.cmp_clk_in.rst_clk_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h000044F4")) ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNO[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h70")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hBAAA")) + ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_24 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[24]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF0CCF0AA")) ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_wb_irq_o_RNO "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.wb_irq_o_RNO") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_waitingfor_refclk_i_RNO "cmp_tdc.tdc_core.acam_timing_block.waitingfor_refclk_i_RNO") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hF444F4F4")) ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_a6_0_a5_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_a6_0_a5[7]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h2322")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h88A88888")) + ) (instance (rename gnum_interface_block_cmp_p2l_decode32_target_mrd_RNO "gnum_interface_block.cmp_p2l_decode32.target_mrd_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0001000000000000")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hBAAA")) - ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_valid_t_4") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAF0AAF0AACCAA00")) + (property INIT (string "64'hAAAAF0F0AAAACC00")) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_dframe_t_4") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAF0AAF0AACCAA00")) + (property INIT (string "64'hAAAAF0F0AAAACC00")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_0[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h88A88888")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_10[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h53FF5300")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_2_3 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_2[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h0400")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_9[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h333300FF55550F0F")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[30]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_24_i_m2[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[31]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[25]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00CC00CCF0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[24]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[21]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCAFFCA00")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_30_i_m4[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hAFCFA0C0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_30_i_m4_0[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAAAAF0F0CCCCFF00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18 "cmp_tdc.tdc_core.reg_control_block.irq_tstamp_threshold_RNIKQUB1[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h55550F0F333300FF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_24_i_m2[31]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_24_i_m2[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00CC00CCF0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[29]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_9[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CC00CCF0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_RNO_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_RNO[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h35FF3500")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00CC00CCF0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAC00ACFF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00CC00CCF0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[26]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00CC00CCF0F0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00CC00CCF0F0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[18]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_m3[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CC00CCF0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCC00CC00F0F0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCC00CC00F0F0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[20]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h35FF3500")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m3_28 "cmp_tdc.tdc_core.reg_control_block.dat_out_24_i_m3[28]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h530053FF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h53FF5300")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCC00CC00F0F0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) - ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[22]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00CC00CCF0F0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_9[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h33FF33FF0F0F5555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_28 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00CC00CCF0F0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[26]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCC00CC00F0F0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF0F0F33335555")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[21]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[16]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_33_RNO[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h333300FF55550F0F")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_0_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_33_RNO_0[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h53FF5300")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hAC00ACFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00CC00CCF0F0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_23[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AAAAFF00CCCC")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_28 "cmp_tdc.tdc_core.reg_control_block.dat_out_23[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AAAAFF00CCCC")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hAC00ACFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hAC00ACFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hAC00ACFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_31_RNO[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h530053FF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h530053FF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_31_RNO[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h530053FF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_31_RNO[25]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h530053FF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[20]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hAC00ACFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hAC00ACFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16 "cmp_tdc.tdc_core.reg_control_block.irq_tstamp_threshold_RNICAUB1[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h55550F0F333300FF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_28 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_9[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h53FF5300")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF0F0F33335555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF0F0F33335555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_23[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AAAAFF00CCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_28 "cmp_tdc.tdc_core.reg_control_block.dat_out_23[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AAAAFF00CCCC")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCC00CC00F0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[24]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAC00ACFF")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_20[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_19_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_19[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00F0F0CCCCAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAC00ACFF")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[25]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAC00ACFF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[30]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAC00ACFF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF0F0F33335555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF0F0F33335555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00CC00CCF0F0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[19]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[23]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCC00CC00F0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCC00CC00F0F0AAAA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[22]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[27]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAFFCA00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00CC00CCF0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[25]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_21[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00CC00CCF0F0AAAA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_26[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACFFAC00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h333300FF55550F0F")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11 "cmp_tdc.tdc_core.reg_control_block.irq_tstamp_threshold_RNIO1TB1[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h55550F0F333300FF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACFFAC00")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) - ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_22_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_22[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hCAFFCA00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_24[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACFFAC00")) - ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_25[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCFF00AAAAF0F0")) - ) - (instance (rename cmp_carrier_csr_rddata_reg_RNO_7 "cmp_carrier_csr.rddata_reg_RNO[7]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hCCA0")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait_RNO") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h00DC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO_0[2]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hA0E0")) ) - (instance pll_sdi_o_obuf_RNO_8 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance pll_sdi_o_obuf_RNO_7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFD75EC64B931A820")) ) - (instance pll_sdi_o_obuf_RNO_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance pll_sdi_o_obuf_RNO_5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFD75EC64B931A820")) ) - (instance pll_sdi_o_obuf_RNO_7 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance pll_sdi_o_obuf_RNO_6 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFD75EC64B931A820")) ) - (instance pll_sdi_o_obuf_RNO_5 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance pll_sdi_o_obuf_RNO_4 (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hEC64FD75A820B931")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_1 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_o5_1") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -14489,7 +13895,7 @@ (property INIT (string "8'hB2")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_8 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_o5_8") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hB2")) + (property INIT (string "8'h8E")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_9 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_o5_9") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h8E")) @@ -14536,109 +13942,79 @@ (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_23 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_o5_23") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h8E")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.decr_counting.counter_4_axb_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hA6AA0C00")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_75_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_59_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_67_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_43_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_51_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_27_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_35_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_11_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait_1_1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h00DC")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_19_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h0001F0F1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_1_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0110022004400880")) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5 "gnum_interface_block.cmp_l2p_arbiter.un6_pdm_arb_req_valid_i_i_a5") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000AA00000002")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_75_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.decr_counting.counter_4_axb_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hA6AA0C00")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_59_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusy_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_busy.ibusy_2") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h0032")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_67_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_27_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_43_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_35_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_51_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_11_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_27_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_19_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_35_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_1_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_11_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_42") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8040201008040201")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_19_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0102040810204080")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_o3_0[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_1_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0110022004400880")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9000090000900009")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIFC3S[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000001")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIB2PD[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFFD")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI8U7S_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNI8U7S[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000001")) - ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEAEAEAC0")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEAEAEAC0")) + (instance (rename gnum_interface_block_cmp_p2l_decode32_target_mwr_RNO "gnum_interface_block.cmp_p2l_decode32.target_mwr_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0004000000000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEAEAEAC0")) + (instance (rename gnum_interface_block_cmp_p2l_decode32_master_cpln_RNO "gnum_interface_block.cmp_p2l_decode32.master_cpln_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0100000000000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEAEAEAC0")) + (instance (rename gnum_interface_block_cmp_p2l_decode32_master_cpld_RNO "gnum_interface_block.cmp_p2l_decode32.master_cpld_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0200000000000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) @@ -14647,61 +14023,37 @@ (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEAEAEAC0")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEAEAEAC0")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFEAEAEAC0")) - ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_target_mwr_RNO "gnum_interface_block.cmp_p2l_decode32.target_mwr_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0004000000000000")) - ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_master_cpln_RNO "gnum_interface_block.cmp_p2l_decode32.master_cpln_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0100000000000000")) - ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_master_cpld_RNO "gnum_interface_block.cmp_p2l_decode32.master_cpld_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0200000000000000")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_len_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_host_addr_h_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h2000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_42") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_o3_0[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFFFFFE")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_len_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIFOB01_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNIFOB01[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000001")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.ibusy_RNO") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h0032")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_26") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAEAEAC0")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_42") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIFC3S[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_34") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8040201008040201")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header_RNIV2PD[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header_RNIL2PD[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address_e_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) @@ -14725,7 +14077,13 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un8_p2l_dma_current_state_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_0_a2_1") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4F440F0044440000")) + ) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_1 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h440044F0")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_a2_1") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00150000")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_a5_1_2[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) @@ -14737,90 +14095,126 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto29_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFFE")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_0_1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_1") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFFFFF1F0")) ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_30_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_ovd_NE_2_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFFFFFFEFF")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_ovd_NE_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFBFFFFFFBF")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_1_NE_3_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFEFFFFFFFD")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_0[12]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0035")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen9_3") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000020000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_0[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0035")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3ALK_13 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI3ALK[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000001")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_0[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h5300")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_0[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h5300")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_0[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h3050")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_1_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_1[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0305")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIPJIT1[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNI1T6K[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIBSBL1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNI1OT52[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNINORF1[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNIMORF1[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNIAA1K1[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNI5RTG1[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNIGB5M1[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNIC14L1[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNI9OQF1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNIM30J1[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIJS9I[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIPLN3[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIJAHF1[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIVR9I[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIJQGF1[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNI5RIF1[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIJQGF1[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_0[12]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h5300")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_1_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_1[12]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0053")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_2[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_3_0_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_3[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIEV2D1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001000000000000")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un1085_tmp_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFBFFFFFFFFFFFF")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_3_0_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_1_1_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_1_1[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o_r_e_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO_0 "gnum_interface_block.cmp_wbmaster32.wb_stb_t_e_RNO_0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000004")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000010")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000010")) - ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_rx_error_t_e "gnum_interface_block.cmp_p2l_dma_master.rx_error_t_e") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hE0EA")) ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_e "gnum_interface_block.cmp_l2p_dma_master.l2p_edb_o_e") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hE0EA")) + ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_11 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[11]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'h0C0A")) ) @@ -14830,6 +14224,9 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_13 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[13]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'h0C0A")) ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[14]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h0C0A")) + ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_15 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[15]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'h0C0A")) ) @@ -14878,44 +14275,20 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_9 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h0C0ACCAA")) ) - (instance (rename gnum_interface_block_dma_adr_o_0 "gnum_interface_block.dma_adr_o[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_1 "gnum_interface_block.dma_adr_o[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_2 "gnum_interface_block.dma_adr_o[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_3 "gnum_interface_block.dma_adr_o[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_4 "gnum_interface_block.dma_adr_o[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_5 "gnum_interface_block.dma_adr_o[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_6 "gnum_interface_block.dma_adr_o[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_7 "gnum_interface_block.dma_adr_o[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_8 "gnum_interface_block.dma_adr_o[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) - (instance (rename gnum_interface_block_dma_adr_o_9 "gnum_interface_block.dma_adr_o[9]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hACA0")) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h0C0ACCAA")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_data_o_RNO[14]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h0C0A")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_a2[12]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h3500")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_a2[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0053")) ) - (instance pll_sdi_o_obuf_RNO_0 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h57DF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_a2[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0053")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_10[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00005030")) ) (instance (rename cmp_tdc_tdc_core_one_second_block_onesec_counter_en_e "cmp_tdc.tdc_core.one_second_block.onesec_counter_en_e") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hBA")) @@ -14923,31 +14296,37 @@ (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dout_e") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hD8CC")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_RNO_1 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_RNO[1]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - ) (instance (rename cmp_dma_eic_eic_irq_controller_inst_wb_irq_o_RNO "cmp_dma_eic.eic_irq_controller_inst.wb_irq_o_RNO") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'hE")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_75_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h8421")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o_RNO "cmp_tdc.tdc_core.TDCboard_leds.tdc_led_trig2_o_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_15 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_iv_0_0[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hEC")) + ) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a5 "gnum_interface_block.cmp_l2p_arbiter.wbm_arb_req_valid_0_a7_0_a5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h02")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_8_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_8[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h10")) + ) + (instance (rename cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p_RNO "cmp_tdc.tdc_core.circular_buffer_block.tstamp_wr_ack_p_RNO") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h4")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_67_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8421")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_15_1_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_15_1[17]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_5_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_5[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hF4")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_27_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.al_2") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'hF2")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_15_1[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_19_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_1_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8421")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_19_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_1_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8421")) ) (instance (rename cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1 "cmp_vic.U_Wrapped_VIC.irqs_i_reg_RNO[1]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) @@ -14956,6 +14335,48 @@ (instance (rename cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_0 "cmp_vic.U_Wrapped_VIC.irqs_i_reg_RNO[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hE0")) ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_27_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_27_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_35_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_35_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_11_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_11_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_19_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_19_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_35_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_35_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_1_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_1_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.al_2") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hF2")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_RNIBA9L_0 "cmp_tdc.cmp_tdc_eic.rddata_reg_RNIBA9L[0]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h7")) + ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_0 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_0") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h69")) ) @@ -14968,14 +14389,17 @@ (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_31 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.decr_counting.counter_4_axb_31") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h2")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_int_read_1_sqmuxa "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_int_read_1_sqmuxa") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h10")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_9 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_9") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNII163_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNII163[20]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto3") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'h8000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIV75T_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNIV75T[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_int_read_1_sqmuxa "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_int_read_1_sqmuxa") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h10")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_0_3") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFEFF")) @@ -15007,32 +14431,113 @@ (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h01")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_2_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_2[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_20 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO_0[20]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hECA0")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_1_22 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10_1[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_1_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24_1[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_1_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_1[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_1_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_1[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_1_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_1[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_1_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_1[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_1_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_1[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_1_12 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_1[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_1_14 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_1[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_1_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_1[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_1_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_1[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_1_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_1[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_1_18 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_1[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_2[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28_2[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_2[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_2_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result_2[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26_2[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_1_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26_1[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_1_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_1[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_1_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_1[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_1_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_1[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_1_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_1[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_1_13 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_1[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_2[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_1_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_1[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_1_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28_1[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_1_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_1[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_1_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29_1[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_1_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23_1[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_3") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0004")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_1_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_1[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_1_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_1[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_1_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_1[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_1_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_1[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_1_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_1[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29_2[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_3_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_3[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFEEE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_2[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_3_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_3[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFEEE")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_RNI83NC1_0 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg_RNI83NC1[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h40C0")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIGRD2_24 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIGRD2[24]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h01")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_2") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_a2_0") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'h0001")) @@ -15043,119 +14548,128 @@ (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.op_eq.un1_filter_cnt_2") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h0001")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIVSAJ_1 "cmp_tdc_clks_rsts_mgment.pll_byte_index_RNIVSAJ[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_un2695_tmp_1 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.vector_or.un2695_tmp_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI3DBJ_1 "cmp_tdc_clks_rsts_mgment.pll_byte_index_RNI3DBJ[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h01")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI2VQP_3 "cmp_tdc_clks_rsts_mgment.pll_byte_index_RNI2VQP[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIUEQP_2 "cmp_tdc_clks_rsts_mgment.pll_byte_index_RNIUEQP[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h0001")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_2[13]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_clks_rsts_mgment_rst_cnt_RNIU601_1 "cmp_tdc_clks_rsts_mgment.rst_cnt_RNIU601[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_2[30]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIV3FA1_10 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_RNIV3FA1[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_2[21]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI29AO1_3 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_RNI29AO1[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_2[15]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIMBO_11 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_RNIMBO[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_2[24]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2_8 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24_2[8]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_2[25]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2_22 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10_2[22]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_2[27]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_2[14]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_2[11]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_2[16]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_2_31 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_2[31]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_2[7]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_2[17]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_2[18]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_2[12]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_2[5]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_2[23]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_2[17]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_2[10]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_2[23]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_2[14]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_2[27]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_2[16]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_2[15]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_2[24]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_2[29]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2_9 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23_2[9]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_2[25]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_2[11]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_2[20]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_2[21]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_2[26]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26_2[6]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_2[19]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_2[28]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_2[29]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_2[26]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_2[28]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_2[30]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_2[18]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_2[12]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_3 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_0_3") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) - ) - (instance (rename cmp_tdc_clks_rsts_mgment_rst_cnt_RNI6701_3 "cmp_tdc_clks_rsts_mgment.rst_cnt_RNI6701[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h8000")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIA9BO1_5 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_RNIA9BO1[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_2[19]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI1DLE_10 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_RNI1DLE[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28_2[4]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIQ89O1_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_RNIQ89O1[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_2[10]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_2") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0002")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_2[20]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_2[2]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_2_31 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_2[31]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29_2[3]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26_2[6]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_2[13]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28_2[4]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_2[2]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_2[5]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_5_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h10")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_2[7]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_3_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_0_3[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_3_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_1_3[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_3_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_4_3[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) @@ -15163,14 +14677,8 @@ (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result_0[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFFFE")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIO6UM_10 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIO6UM[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1P1V_0 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI1P1V[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h10")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_0[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h10")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto10_1") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_2_0_0") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'h01")) @@ -15178,49 +14686,145 @@ (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_3_0_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h0001")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS5NF_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIS5NF[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto16_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto16_2") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_3_3") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) (property INIT (string "16'h0001")) ) (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4[31]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_11[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_4[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIUCID_19 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNIUCID[19]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_i_m2_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_8_i_m2[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_8[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_12_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_12[18]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_7[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m3_28 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_i_m3[28]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_16[11]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_12[15]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_15_i_m3[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_15[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_4[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_2[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_4_RNIBERK_1 "cmp_tdc.tdc_core.acam_config_rdbk_4_RNIBERK[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_1_RNI72N01_22 "cmp_tdc.tdc_core.acam_config_rdbk_1_RNI72N01[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h35")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_12[20]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_13[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_3[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_11[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_15[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_11[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_15[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_7[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_4[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_15[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_7[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_3[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_3[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_15[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_12[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_11[23]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_13[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_8[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_3_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_30_i_m4_3[10]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_21_RNO[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_21_RNO[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_21_RNO[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_21_RNO[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_21_RNO[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_16[9]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_13[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_13[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_8[12]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_8[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_13[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0[0]") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_8[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) ) (instance (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_toggle_e "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_toggle_e") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) @@ -15235,28 +14839,46 @@ (instance (rename gnum_interface_block_p2l_rdy_o "gnum_interface_block.p2l_rdy_o") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_18 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO_0[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_5 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_RNO_0[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_o3[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNI2D3M_9 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNI2D3M[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI5BSL_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI5BSL[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o "cmp_tdc.tdc_core.acam_timing_block.acam_intflag_f_edge_p_o") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h2")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_23_1[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_3_i_o2_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_a2_3_i_o2[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_RNO_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_2_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.ack_row_2[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_7[1]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_4[4]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_7_3[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_un92_request "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.un92_request") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPFHD_2 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIPFHD[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_6[2]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_4[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_4[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_4[3]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_2_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_2[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_a_4_axb_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) @@ -15265,19 +14887,19 @@ (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_31 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_a_4_axb_31") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_0") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_0") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_4") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_4") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_5") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_5") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_6") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_6") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_7 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_7") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_7 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_7") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_31 "cmp_tdc.tdc_core.acam_timing_block.un1_total_delay_axb_31") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) @@ -15322,39 +14944,24 @@ (instance (rename cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_7 "cmp_tdc_clks_rsts_mgment.un1_rst_cnt_axb_7") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - ) (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_2_RNO "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_2_RNO") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1_RNO "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_1_RNO") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIRMT7_12 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIRMT7[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_RNO "cmp_tdc.tdc_core.data_formatting_block.un6_un_current_retrig_from_roll_over_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI77V7_18 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNI77V7[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_stop_e_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2_RNO "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_2_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_721_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_721_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_726_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_726_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_732_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_732_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_737_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_737_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_7 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_7") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance pll_sdi_o_obuf_RNO_2 (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_0 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto9_i_a2_0_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) @@ -15367,13 +14974,7 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_1 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto9_i_a2_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIN0J4_1 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header_RNIN0J4[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address_e_RNO_5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address_e_RNO_6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV0J4_5 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header_RNIV0J4[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address_e_RNO_3") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) @@ -15385,109 +14986,52 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_5 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un8_p2l_dma_current_state_5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_0_2") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ0N4_11 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIJ0N4[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_1 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_2 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto29_0_2") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_36_0 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_36_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_0_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_0[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_0_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_0[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_0_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_0[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_0_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_0[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_0_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_0[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_0_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24_0[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_0_13 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_0[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_0_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_0[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_0_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_0[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_0_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_0[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_0_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_0[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_0_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_0[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_0_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23_0[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_0_22 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10_0[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_0_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_0[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_0_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_0[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_0_12 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_0[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_2 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto29_0_2") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'hE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_0_14 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_0[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_al.ial_2_0") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_0_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_0[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_36_0 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_36_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h6")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_0_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_0[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNICH5H_10 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNICH5H[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_0_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_0[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_a3_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_1_0_a3_1") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_0_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_0[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2 "cmp_tdc.tdc_core.data_formatting_block.op_gt.un5_un_current_retrig_from_roll_overlto5_2") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'hE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_0_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_0[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_0_18 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_0[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_m76_s_0_0 "cmp_tdc.m76_s_0_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIB4HM_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIB4HM[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNITILI_4 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_RNITILI[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2 "cmp_tdc.tdc_core.data_formatting_block.op_gt.un5_un_current_retrig_from_roll_overlto5_2") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.ial_RNO_0") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_RNI3HKA_3 "cmp_tdc_clks_rsts_mgment.pll_bit_index_RNI3HKA[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNI1JLI_6 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_RNI1JLI[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_0_0 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_6_0_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_1 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_RNO[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_1 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_6_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIBAJB_5 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNIBAJB[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_0 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6_0_0 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_0_a3_0_a2_6_0_0") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_30_0_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) @@ -15502,187 +15046,142 @@ (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1_4 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_30_1_4") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0_2") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0_4") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRGMK_3 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNIRGMK[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_1_4") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNITV78_13 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNITV78[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI92JB_1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNI92JB[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIUR78_31 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNIUR78[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIEQEE_10 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNIEQEE[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI0888_20 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI0888[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPJ7F_13 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIPJ7F[13]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV0PQ_3 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIV0PQ[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3HPQ_5 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI3HPQ[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI71QQ_7 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI71QQ[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI9S7F_28 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI9S7F[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV38F_30 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIV38F[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRGOQ_1 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIRGOQ[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI5098_17 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI5098[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITR7F_22 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNITR7F[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1G88_15 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI1G88[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1S7F_24 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI1S7F[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3G88_25 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI3G88[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI5S7F_26 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI5S7F[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIVV78_23 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNIVV78[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVJ7F_16 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIVJ7F[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRF78_21 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNIRF78[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3K7F_18 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI3K7F[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRGOQ_1 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIRGOQ[1]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPR7F_20 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIPR7F[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNILJ7F_11 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNILJ7F[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_2 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_0_2") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIE01L_10 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIE01L[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIRGJ1_13 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIRGJ1[13]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPP2P_3 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIPP2P[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI71QQ_7 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI71QQ[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNITP2P_5 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNITP2P[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1K7F_17 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI1K7F[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI1Q2P_7 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI1Q2P[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITJ7F_15 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNITJ7F[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI7PK1_28 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI7PK1[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPJ7F_13 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIPJ7F[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPOI1_30 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIPOI1[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVR7F_23 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIVR7F[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNILP2P_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNILP2P[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRR7F_21 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIRR7F[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIR8J1_22 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIR8J1[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUN7F_19 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIUN7F[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIVOJ1_24 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIVOJ1[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI408F_29 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI408F[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI39K1_26 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI39K1[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI7S7F_27 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI7S7F[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI19K1_16 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI19K1[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3S7F_25 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNI3S7F[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI5PK1_18 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI5PK1[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIRPN6_22 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIRPN6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNINOI1_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNINOI1[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINPN6_20 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNINPN6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIRPN6_22 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIRPN6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1IN6_18 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNI1IN6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIVPN6_24 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIVPN6[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI7QN6_28 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNI7QN6[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI3QN6_26 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNI3QN6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNITHN6_16 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNITHN6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1IN6_18 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNI1IN6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIVPN6_24 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIVPN6[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINPN6_20 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNINPN6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIB8VF_16 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNIB8VF[16]") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI3OTF_30 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNI3OTF[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OUF_24 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNI9OUF[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNILJRF_16 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNILJRF[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNID3QF_30 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNID3QF[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIH3RF_14 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIH3RF[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI7S71_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNI7S71[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_rst_cnt_RNID3G_1 "cmp_tdc_clks_rsts_mgment.rst_cnt_RNID3G[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_clks_rsts_mgment_rst_cnt_RNIL3G_5 "cmp_tdc_clks_rsts_mgment.rst_cnt_RNIL3G[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGUOB_19 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIGUOB[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_2_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_0_2[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNID2PB_21 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNID2PB[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_2_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_1_2[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIH2PB_23 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIH2PB[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_2_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_4_2[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIDQOB_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIDQOB[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_1_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIHQOB_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIHQOB[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_1_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNITV0F_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNITV0F[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI201F_5 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNI201F[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_0[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) + ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_0_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_0_1") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_1_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_1_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_0 "cmp_tdc.tdc_core.data_formatting_block.un6_un_current_retrig_from_roll_over_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNINILI_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_RNINILI[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o_RNO_2") (viewRef PRIM (cellRef LUT2_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o_r_e_RNO_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIPHAK_11 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_RNIPHAK[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0_RNO "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_2_0_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0_RNO_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_2_0_RNO_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_3") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_2_0") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_5_2") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_s_RNO_7 "cmp_tdc.tdc_core.data_formatting_block.wr_index_s_RNO[7]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) @@ -15811,450 +15310,93 @@ (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_RNIH399_1 "cmp_vic.U_Wrapped_VIC.timeout_count_RNIH399[1]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_RNIGV89_0 "cmp_vic.U_Wrapped_VIC.timeout_count_RNIGV89[0]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_s_RNO_31 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_s_RNO[31]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_RNO_31 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s_RNO[31]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_s_RNO_31 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_s_RNO[31]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI0L6B_30 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI0L6B[30]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_s_RNO_29 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_s_RNO[29]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI8P7B_29 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI8P7B[29]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_s_RNO_29 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_s_RNO[29]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI7L7B_28 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI7L7B[28]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_23_RNO "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_23_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI6H7B_27 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI6H7B[27]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_31_RNO "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3_s_31_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI5D7B_26 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI5D7B[26]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance m2_s_1_i_0_m2_s_24_RNO (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hC5")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI497B_25 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI497B[25]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19_RNO "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_0_s_19_RNO") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hC5")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI357B_24 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI357B[24]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNINLV56_0 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNINLV56[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI217B_23 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI217B[23]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[18]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI1T6B_22 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI1T6B[22]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[23]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI0P6B_21 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI0P6B[21]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIVK6B_20 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIVK6B[20]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[27]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI7P7B_19 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI7P7B[19]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6 "cmp_tdc.tdc_core.reg_control_block.dat_out[6]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI6L7B_18 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI6L7B[18]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_20 "cmp_tdc.tdc_core.reg_control_block.dat_out[20]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI5H7B_17 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI5H7B[17]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_9 "cmp_tdc.tdc_core.reg_control_block.dat_out[9]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI4D7B_16 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI4D7B[16]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4 "cmp_tdc.tdc_core.reg_control_block.dat_out[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI397B_15 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI397B[15]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_2 "cmp_tdc.tdc_core.reg_control_block.dat_out[2]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI257B_14 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI257B[14]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_21 "cmp_tdc.tdc_core.reg_control_block.dat_out[21]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI117B_13 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI117B[13]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25 "cmp_tdc.tdc_core.reg_control_block.dat_out[25]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI0T6B_12 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNI0T6B[12]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31 "cmp_tdc.tdc_core.reg_control_block.dat_out[31]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIVO6B_11 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIVO6B[11]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_30 "cmp_tdc.tdc_core.reg_control_block.dat_out[30]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIUK6B_10 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIUK6B[10]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26 "cmp_tdc.tdc_core.reg_control_block.dat_out[26]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIMN6D_9 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIMN6D[9]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI39BH_0 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNI39BH[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNILN6D_8 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNILN6D[8]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNI8EOI_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt_RNI8EOI[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIKN6D_7 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIKN6D[7]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIBL6K[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIJN6D_6 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIJN6D[6]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_o2_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIIN6D_5 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIIN6D[5]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI5PTU_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNI5PTU[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIHN6D_4 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIHN6D[4]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1 "cmp_tdc.tdc_core.data_formatting_block.un6_un_current_retrig_from_roll_over_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF0000FFFE0000")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIGN6D_3 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIGN6D[3]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIFN6D_2 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIFN6D[2]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIEN6D_1 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_RNIEN6D[1]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_RNO_31 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s_RNO[31]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQS26_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIQS26[30]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI2146_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI2146[29]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1T36_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI1T36[28]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0P36_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI0P36[27]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVK36_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIVK36[26]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUG36_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIUG36[25]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITC36_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNITC36[24]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIS836_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIS836[23]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR436_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIR436[22]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ036_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIQ036[21]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIPS26_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIPS26[20]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1146_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI1146[19]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0T36_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI0T36[18]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVO36_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIVO36[17]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUK36_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIUK36[16]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITG36_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNITG36[15]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNISC36_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNISC36[14]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR836_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIR836[13]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ436_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIQ436[12]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIP036_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIP036[11]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIOS26_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIOS26[10]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIGJKD_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIGJKD[9]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIFJKD_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIFJKD[8]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIEJKD_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIEJKD[7]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIDJKD_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIDJKD[6]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNICJKD_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNICJKD[5]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIBJKD_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIBJKD[4]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIAJKD_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIAJKD[3]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI9JKD_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI9JKD[2]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI8JKD_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI8JKD[1]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_RNO_31 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s_RNO[31]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIF8I4_30 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIF8I4[30]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIL4I4_29 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIL4I4[29]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIK4I4_28 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIK4I4[28]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIJ4I4_27 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIJ4I4[27]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNII4I4_26 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNII4I4[26]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIH4I4_25 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIH4I4[25]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIG4I4_24 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIG4I4[24]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIF4I4_23 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIF4I4[23]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIE4I4_22 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIE4I4[22]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNID4I4_21 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNID4I4[21]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIC4I4_20 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIC4I4[20]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNII0I4_19 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNII0I4[19]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIH0I4_18 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIH0I4[18]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIG0I4_17 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIG0I4[17]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIF0I4_16 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIF0I4[16]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIE0I4_15 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIE0I4[15]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNID0I4_14 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNID0I4[14]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIC0I4_13 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIC0I4[13]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIB0I4_12 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIB0I4[12]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIA0I4_11 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIA0I4[11]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNI90I4_10 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNI90I4[10]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIP6M7_9 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIP6M7[9]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIO2M7_8 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIO2M7[8]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNINUL7_7 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNINUL7[7]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIMQL7_6 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIMQL7[6]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNILML7_5 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNILML7[5]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIKIL7_4 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIKIL7[4]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIJEL7_3 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIJEL7[3]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIIAL7_2 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIIAL7[2]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIH6L7_1 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_RNIH6L7[1]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_RNO_31 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s_RNO[31]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQS26_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIQS26[30]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI2146_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI2146[29]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1T36_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI1T36[28]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0P36_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI0P36[27]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVK36_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIVK36[26]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUG36_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIUG36[25]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITC36_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNITC36[24]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIS836_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIS836[23]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR436_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIR436[22]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ036_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIQ036[21]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIPS26_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIPS26[20]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1146_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI1146[19]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0T36_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI0T36[18]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVO36_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIVO36[17]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUK36_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIUK36[16]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITG36_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNITG36[15]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNISC36_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNISC36[14]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR836_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIR836[13]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ436_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIQ436[12]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIP036_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIP036[11]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIOS26_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIOS26[10]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIGJKD_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIGJKD[9]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIFJKD_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIFJKD[8]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIEJKD_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIEJKD[7]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIDJKD_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIDJKD[6]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNICJKD_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNICJKD[5]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIBJKD_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIBJKD[4]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIAJKD_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIAJKD[3]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI9JKD_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI9JKD[2]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI8JKD_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI8JKD[1]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_s_RNO_31 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_s_RNO[31]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h1")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_s_RNO_31 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_s_RNO[31]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h1")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_s_RNO_29 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_s_RNO[29]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h1")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_s_RNO_29 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_s_RNO[29]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_31_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_s_31_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_23_RNO "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_23_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h1")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_31_RNO "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3_s_31_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h1")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24_RNO "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_24_RNO") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hC5")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19_RNO "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_0_s_19_RNO") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hC5")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_1 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hECA0")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIKTS91 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSCL_RNIKTS91") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h00F7")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_2 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_2_2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFFECA0")) - ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIO01D1[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI3OPJ1_3 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI3OPJ1[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000004")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_3[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00080000")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_i_0_o2_3[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFFFFFFFFE")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIHEQ51_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNIHEQ51[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000001")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un34_acam_ack_i") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000800000000")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFECA00000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNISDBV1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_RNIEOHJ[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNIIKU13[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_RNII1TV1[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIQ14R1[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_RNIT4VN2[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000100000000")) ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI1T1T2[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h1000000000000000")) + ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto29_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFFFFFFFFE")) ) @@ -16264,53 +15406,59 @@ (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.vector_or.un2978_tmp") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hEFFFFFFFFFFFFFFF")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un3285_tmp") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFB")) ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_25") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h0100")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAAAAAFCFFFFFF")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0_RNO[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00808080")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_RNI88KA1 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_8_lut6_2_RNI88KA1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename cmp_tdc_tdc_core_m10_2 "cmp_tdc.tdc_core.m10_2") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI2FT52_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNI2FT52[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_3 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_3") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h10000000")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h00404040")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI8RMH3[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1000000000000000")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts_RNO[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00000000FFFDFFFF")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNO_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hFF10FF00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o19 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o19") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00020000")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o20 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o20") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00020000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_m6_lut6_2_RNI8FCP3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF07FF0000070000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00ACAC0000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00ACAC0000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF00FF00ACAC0000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00FF00ACAC0000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFACAC0000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00FF00ACAC0000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF00FFACAC0000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_31_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_31[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF00FF00ACAC0000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_9") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000003000000050")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_3_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0100000000000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_12") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000003000000050")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) ) (instance (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_0") (viewRef PRIM (cellRef RAMB8BWER (libraryRef VIRTEXR))) (property RST_PRIORITY_B (string "CE")) @@ -16333,18 +15481,18 @@ (property INIT_0E (string "0000000000000000000000000000000000000000000000000000000000000000")) (property INIT_0D (string "0000000000000000000000000000000000000000000000000000000000000000")) (property INIT_0C (string "0000000000000000000000000000000000000000000000000000000000000000")) - (property INIT_0B (string "0000000000000000000000000000000000000000000000000000000000000000")) - (property INIT_0A (string "0000000000000000000000000000000000000000000000000000000000000000")) + (property INIT_0B (string "2020200120202020454D202044432D4D57422D54201211160000000100000601")) + (property INIT_0A (string "0000CE420000000000014FFF0000000000014000000000000000000400000101")) (property INIT_09 (string "202020016F6C20206F6E747232432E4357422D49201211160000000100000606")) - (property INIT_08 (string "0000CE42000000000000131F0000000000001300000000000000000400000101")) + (property INIT_08 (string "0000CE42000000000001301F0000000000013000000000000000000400000101")) (property INIT_07 (string "202020014943202044432E454D432D5457422D46201211160000000100000605")) - (property INIT_06 (string "0000CE42000000000000120F0000000000001200000000000000000400000101")) + (property INIT_06 (string "0000CE42000000000001200F0000000000012000000000000000000400000101")) (property INIT_05 (string "6F6C20016F6E747272652E436E65776957422D4F201211160000000100000602")) - (property INIT_04 (string "0000CE4200000000000011070000000000001100000000000000000400000101")) + (property INIT_04 (string "0000CE4200000000000110070000000000011000000000000000000400000101")) (property INIT_03 (string "69672001436F6E666F72652D44432D4357422D54201304290000000100000604")) - (property INIT_02 (string "0000CE4200000000000010FF0000000000001000000000000000000400000101")) + (property INIT_02 (string "0000CE4200000000000100FF0000000000010000000000000000000400000101")) (property INIT_01 (string "202020002D4753497362617243726F735742342D2012051100000002E6A542C9")) - (property INIT_00 (string "000006510000000000001FFF000000000000000000000000000501005344422D")) + (property INIT_00 (string "00000651000000000001FFFF000000000000000000000000000601005344422D")) ) (instance (rename cmp_sdb_crossbar_rom_slave_o_dat_0_0 "cmp_sdb_crossbar.rom.slave_o.dat_0_0") (viewRef PRIM (cellRef RAMB16BWER (libraryRef VIRTEX))) (property RST_PRIORITY_B (string "CE")) @@ -16363,26 +15511,26 @@ (property INIT_16 (string "0000000000000000000000000000000000000000000000000000000000000000")) (property INIT_15 (string "0000000000000000000000000000000000000000000000000000000000000000")) (property INIT_14 (string "0000000000000000000000000000000000000000000000000000000000000000")) - (property INIT_13 (string "6E733563686120805F666D63746463312013042973706563593B56E500010001")) - (property INIT_12 (string "000000000000CE42000000000000000000000000000000000000000000000000")) - (property INIT_11 (string "202020202020208265676F7573696F75002012032013041053796E706C694450")) - (property INIT_10 (string "000000000000000000000000000000005F666D635F746463737065635F746F70")) - (property INIT_0F (string "202020202020208120202020202020202020202020202020737065632F202020")) - (property INIT_0E (string "7464632F68646C2F6F72672F666D632D766E2E6F6877722E687474703A2F2F73")) - (property INIT_0D (string "53492020202020024272696467652D47201205115742342DEEF0B19800000001")) - (property INIT_0C (string "00000000000006510000000000003FFF00000000000020000000000000002000")) - (property INIT_0B (string "20202020202020014D412E45494320202013120457422D44D5735AB400000001")) - (property INIT_0A (string "000000000000CE42000000000000140F00000000000014000000010100000004")) - (property INIT_09 (string "6F6E74726F6C200149432D496E742E432012011357422D560000001300000002")) - (property INIT_08 (string "000000000000CE4200000000000013FF00000000000013000000010100000007")) - (property INIT_07 (string "20202020202020015045432D435352202012111657422D530000060300000001")) - (property INIT_06 (string "000000000000CE42000000000000121F00000000000012000000010100000004")) - (property INIT_05 (string "6F6E74726F6C20016E65776972652E432012111657422D4F0000060200000001")) - (property INIT_04 (string "000000000000CE42000000000000110700000000000011000000010100000004")) - (property INIT_03 (string "6F6C2020202020014D412E436F6E74722012111657422D440000060100000001")) - (property INIT_02 (string "000000000000CE42000000000000103F00000000000010000000010100000004")) - (property INIT_01 (string "2D4753492020200043726F7373626172201205115742342DE6A542C900000002")) - (property INIT_00 (string "00000000000006510000000000003FFF00000000000000005344422D000A0100")) + (property INIT_13 (string "686120806E733563746463315F666D63737065632013042900010001593B56E5")) + (property INIT_12 (string "0000CE4200000000000000000000000000000000000000000000000000000000")) + (property INIT_11 (string "202020822020202073696F7565676F7520130410002012036C69445053796E70")) + (property INIT_10 (string "000000000000000000000000000000005F7464635F666D635F746F7073706563")) + (property INIT_0F (string "2020208120202020202020202020202020202020202020202F20202073706563")) + (property INIT_0E (string "68646C2F7464632F666D632D6F72672F6877722E766E2E6F3A2F2F7368747470")) + (property INIT_0D (string "202020025349202067652D47427269645742342D2012051100000001EEF0B198")) + (property INIT_0C (string "00000651000000000007FFFF0000000000060000000000000006000000000000")) + (property INIT_0B (string "2020200120202020494320204D412E4557422D442013120400000001D5735AB4")) + (property INIT_0A (string "0000CE42000000000005000F0000000000050000000000000000000400000101")) + (property INIT_09 (string "6F6C20016F6E74726E742E4349432D4957422D56201201130000000200000013")) + (property INIT_08 (string "0000CE4200000000000400FF0000000000040000000000000000000700000101")) + (property INIT_07 (string "2020200120202020435352205045432D57422D53201211160000000100000603")) + (property INIT_06 (string "0000CE42000000000003001F0000000000030000000000000000000400000101")) + (property INIT_05 (string "6F6C20016F6E747272652E436E65776957422D4F201211160000000100000602")) + (property INIT_04 (string "0000CE4200000000000200070000000000020000000000000000000400000101")) + (property INIT_03 (string "202020016F6C20206F6E74724D412E4357422D44201211160000000100000601")) + (property INIT_02 (string "0000CE42000000000001003F0000000000010000000000000000000400000101")) + (property INIT_01 (string "202020002D4753497362617243726F735742342D2012051100000002E6A542C9")) + (property INIT_00 (string "00000651000000000007FFFF000000000000000000000000000A01005344422D")) ) (instance (rename cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0 "cmp_vic.U_Wrapped_VIC.vector_table_1_vector_table_0_0") (viewRef PRIM (cellRef RAMB16BWER (libraryRef VIRTEX))) (property RST_PRIORITY_B (string "CE")) @@ -16391,1826 +15539,1440 @@ (property SRVAL_A (string "36'h000000000")) (property DATA_WIDTH_B (integer 36)) (property DATA_WIDTH_A (integer 36)) - (property INIT_00 (string "0000000000000000000000000000000000000000000000000000140000003200")) + (property INIT_00 (string "0000000000000000000000000000000000000000000000000000140000002000")) (property WRITE_MODE_B (string "READ_FIRST")) (property WRITE_MODE_A (string "WRITE_FIRST")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[0]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_RNO[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hEF0FFF0FFF0FFF0F")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18 "cmp_tdc.tdc_core.reg_control_block.acam_config_4_RNI9NOO6[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00FF0000000100")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_26") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h60909090")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_2 "cmp_sdb_crossbar.crossbar.matrix_old[2]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_6_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hA8A0545054505450")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_18 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_27_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h990F000F0F0F0F0F")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_19 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_67_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h990F000F0F0F0F0F")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_17 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0_4") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3500550000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0F0F0F0F066AA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_0_a2_i_o2_RNIPGS68_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000010")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_5 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_RNIV44P9[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000001000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_6 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_RNIV44P9_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000010")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_7 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_8 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_m10_3 "cmp_tdc.tdc_core.m10_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0020000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_9 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_11 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_12 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_13 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_1_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNO_1[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h1000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_14 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIVBHL6_2 "cmp_tdc.tdc_core.reg_control_block.acam_config_5_RNIVBHL6[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_15 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNITC113") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0013000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_16 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_17 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_2_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_a2_2[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_18 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_0_a2_i_o2_RNIPGS68") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000100000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_19 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_0_a2_i_o2_RNIV7R78") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000100000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_20 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_868_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_868_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_21 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1073_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_22 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat_RNO") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hAACAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_23 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1077_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_24 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat_4") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAAE2AAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_25 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1079_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_26 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1076_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_27 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.1.vector_or.un1403_tmp_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFDFFFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_28 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1094_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_29 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1088_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_30 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1087_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_16 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1082_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_31 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un_clk_i_cycles_offset_3[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1086_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_15 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1083_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_8 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1081_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_14 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1080_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_13 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1075_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1072_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_5 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1071_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1068_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1067_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_11 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1066_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1078_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_25 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1074_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_24 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1090_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_6 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFF8FFFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_5 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_17 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_17") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_4 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_16") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_3 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_15") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_2 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_2") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_24 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_24 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_23 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_9") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_8") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_1") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555555555555")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNO[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_3") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_12 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_7") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_7 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_11 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_19 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_23 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_14") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_22 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_13") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_21 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_11") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_30 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_10") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_20 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0_4_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555555555555")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_27 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_17 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_4") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_20 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_6 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_9 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_12_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3555555555555555")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_29 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_12") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h6AAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_7 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_26 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_25 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_23 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_1 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_31 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCAAAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_26 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1070_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_28 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_860_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_860_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_25 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1069_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAACCCAAAACCCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1065_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCCAAACCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1064_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_23 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCCAAACCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1063_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0111111111111111")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_RNO[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCCCCCAAACCCCAAAA")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1091_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_34") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h000000000000A599")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1089_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_11 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[11]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_864_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_864_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_33_a1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1000")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1092_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[29]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1093_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[30]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22 "cmp_tdc.tdc_core.reg_control_block.acam_config_6_RNIPPC3D[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FE00FFFEFEFFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[31]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_871_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_871_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[15]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_865_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_865_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[18]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1084_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_862_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_862_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_861_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_861_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0_4_RNO_1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h3555")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_859_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_859_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0_4_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h3555")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_870_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_870_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_12_RNIMO211 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_12_RNIMO211") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h3555")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_863_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_863_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILD7H2_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNILD7H2[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_857_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_857_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIK97H2_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIK97H2[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_858_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_858_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ57H2_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIJ57H2[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_869_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_869_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_17 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_17") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6A")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_867_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_867_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_9_RNI223A1 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_9_RNI223A1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_866_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_866_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[27]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1085_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFDDDDDDDDDDDDDDD")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[28]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_850_m2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_850_m2") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h5155")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_856_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_856_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[21]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0008080808080808")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[22]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_attrib_reg_RNI60PA1_0 "gnum_interface_block.cmp_dma_controller.dma_attrib_reg_RNI60PA1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF0000FFAB0000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_0_4_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h3555")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0040404040404040")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINL7H2_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNINL7H2[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_2 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hAAAAAAAE")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIMH7H2_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIMH7H2[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFDFFF5FFFFFFFFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNI0P5L3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_ren_1_RNI0P5L3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCECCCCCC0A000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11 "cmp_tdc.tdc_core.reg_control_block.acam_config_1_RNIPUMQ1[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0002000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_0_1 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00FF22F200002222")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_33_a0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0111")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_0_a2_i_o2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3F3F3F3F00FF55FF")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.5.0.matrix_new_5[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename cmp_carrier_csr_ack_in_progress_RNILVL31 "cmp_carrier_csr.ack_in_progress_RNILVL31") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0404404400000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNI485K1[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEFFFFFFFFFFFFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_i_0_0_a2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h5055505550555155")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_8 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6A")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_0_a2_i_o2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCFCFCFCFFF00FF55")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_RNO_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_0_RNO[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00008000")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3 "cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_13[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000020")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_32_a0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0111")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_3 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000A80000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_32_a1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1000")) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un4_p2l_d_valid") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hEEE0E0E0")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_15_RNIS4311 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_15_RNIS4311") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNI8R6C6[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF1000FFFF0000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNI023A1 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_8_RNI023A1") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_ack_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000F0000000E0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1 "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc_RNIEOE42_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000400000")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_1[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422 "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc_RNICG422") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000400000")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6 "cmp_sdb_crossbar.crossbar.matrix_logic.1.0.matrix_new_25[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000010")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIDKIB2[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0100000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_i_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000200000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_67_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hA533003333333333")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_4_i_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_75_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hA533003333333333")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22 "cmp_tdc.tdc_core.reg_control_block.acam_config_10_RNI7I7P6[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00000000FFFFFBFF")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_10_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h000F111111111111")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1029_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1029_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1214_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1025_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1025_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1210_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_10 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h050355330A0CAACC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1217_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0020")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1216_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_RNIJN5OB[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000100000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1213_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_14[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000008000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_982_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_982_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_8[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000008000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1215_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1026_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1026_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1193_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIONDU4_22 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNIONDU4[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over "cmp_tdc.tdc_core.data_formatting_block.un6_un_current_retrig_from_roll_over") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_1_RNIT3VU6[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000020000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1190_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_8[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000004")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1189_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_a2_3[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000040000")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI6FBO2[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000010000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_4[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000010000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1041_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1041_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_9[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000040000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1040_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1040_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNO[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h055503330AAA0CCC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1037_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1037_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_carrier_csr_ack_in_progress_RNILVL31_0 "cmp_carrier_csr.ack_in_progress_RNILVL31_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000400000000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNO[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFFFFFFFFFFFFFF")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[20]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1042_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1042_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_ren_1") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h40000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_s_RNO[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h55FFCFFF55555555")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[19]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1045_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1045_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1043_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1043_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1039_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1039_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI31L12_0[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001011101000111")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1038_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1038_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h54F0F0F0F0F0F0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_1[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hF1FF0000FFFF0000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAACA0AAAAAAAAA")) + (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_2 "cmp_tdc.cmp_tdc_eic.rddata_reg_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1211_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNI35RN[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1198_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1 "cmp_dma_eic.eic_irq_controller_inst.irq_mask_15[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h54F0F0F0")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF8F0FFFFFFFFF")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0888888888888888")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1048_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1048_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIGNA31[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1047_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1047_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_1_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1046_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1046_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hCCCCCFCA")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1044_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1044_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_attrib_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0200000000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNISBHN2[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0808008800000000")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_28 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[28]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1212_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_973_m2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_973_m2") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h4055")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7 "cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_29[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNID35O1[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFEFFFEFFFEFFFF")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_9[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1203_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_994_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_994_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress_RNID85C1") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h04000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_993_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_993_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[27]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_992_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_992_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_a2_5_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0_a2_5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0004")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1187_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_24 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[24]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1194_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[22]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1204_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_7") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9699696666969969")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIJEGC1_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIJEGC1[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h55FF03FF55555555")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_6 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9C6339C6639CC639")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_983_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_983_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxa_2 "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_swir_wr_o_1_sqmuxa_2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00008000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1196_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_1 "cmp_tdc.cmp_tdc_eic.rddata_reg_RNO[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1195_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_0 "cmp_tdc.cmp_tdc_eic.rddata_reg_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_990_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_990_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_3[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1200_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000002000000002")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIKEGC1_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIKEGC1[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h55FF03FF55555555")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_RNI1SG02[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFEFFFEFFFE0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_979_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_979_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1191_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_26 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNISBHN2_0[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0080080000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1199_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1206_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_984_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_984_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1033_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1033_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat_4") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCAAAA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1209_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_25_3") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1208_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1207_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1192_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1188_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.nxt_state_decoder.isda_oen_4_iv_tz_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFE")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1186_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.3.vector_or.un768_tmp_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFDFFFFFFFFFF")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_989_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_989_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIE3B31[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1205_N_6_i") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFDDDDDDDDDDDDDDD")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_985_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_985_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_981_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_981_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_980_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_980_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_3") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h02220AAA00000000")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI22D91_5 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI22D91[5]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1202_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1201_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_987_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_987_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1034_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1034_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hA0A0A0C000000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_986_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_986_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_31 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[31]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1036_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1036_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_29 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[29]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1035_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_1035_m1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_26 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[26]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_tdc_core_m29 "cmp_tdc.tdc_core.m29") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4000000000000000")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_25 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[25]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_991_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_991_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d1_5[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08888888")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_1197_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0111111111111111")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[23]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_988_m1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_988_m1") (viewRef PRIM (cellRef LUT3_L (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d0_5[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0060606060606060")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCE0ACC00020A0000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_14") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_2 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hAAAAAAAE")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI31L12[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000001100010111")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_i_0_0_0_a2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h5055505550555155")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0_0[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0303005500000000")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCE0ACC00020A0000")) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2 "cmp_tdc_clks_rsts_mgment.pll_bit_index_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFC03FD57FF00FF55")) ) - (instance (rename nxt_state_decoder_isda_oen_4_iv_i "nxt_state_decoder.isda_oen_4_iv_i") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h03020000FFFFFFFF")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_attrib_reg_RNI60PA1_0 "gnum_interface_block.cmp_dma_controller.dma_attrib_reg_RNI60PA1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF0000FFAB0000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNO[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h055503330AAA0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0 "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc_RNIEOE42_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000004000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFF00100000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o23") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00200000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIQTRF_12 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIQTRF[12]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0_a2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_0_sqmuxa_0_a2_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h10000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_11_i_o2[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hDFFFFFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h54F0F0F0F0F0F0F0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sda_chk_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000AC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_30 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNO[30]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d1_9[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08888888")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0_9[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0060606060606060")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNIQC2N_9 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNIQC2N[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_o2_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_o2[2]") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) + (property INIT (string "16'h5703")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1_RNO[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0020000000000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_2 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9C6339C6639CC639")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42 "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc_RNIEOE42") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000040000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCE0ACC00020A0000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_0_1 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00FF22F200002222")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNIA6V61[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0888888888888888")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCE0ACC00020A0000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNIF6JC2[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0040000000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNIN0L12[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h550CFCFC5500F0F5")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_10 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h050355330A0CAACC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.ack_out_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000000000CAAA")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCE0ACC00020A0000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4 "cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_17[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI60D91_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNI60D91[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o24_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hC0C0C0C0FF00AA00")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0_a3_1_0[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000000000FEFF")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_3 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000A80000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_6[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00AACCF0F0F0F0F0")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI0TTB5[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4000000000000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_24 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_24") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9669696996969669")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy_0_lut6_2_RNIMRRJ2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5555555555555557")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_23 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_23") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2 "cmp_carrier_csr.rddata_reg_4_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000400000000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_21") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_1_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_20") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIJV2D1[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_19 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_19") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_r "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_r") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h40000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_17") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_15 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_15") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_25_3") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h00000001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_13") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIS9E9_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIS9E9[18]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_12 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_12") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIFR5E[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI393I1_4 "cmp_vic.U_Wrapped_VIC.state_RNI393I1[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFF0002FFFF")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936C6C93C93636C9")) ) - (instance (rename cmp_carrier_csr_rddata_reg_22_16 "cmp_carrier_csr.rddata_reg_22[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCC00FFFFCFAFFFFF")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9C6339C6639CC639")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCCCCFCA")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNICOPO[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.host_ack_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000000000AE00")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_2_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_2[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8880")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIH25E[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000AC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.op_eq.un1_filter_cnt_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000000000001")) ) (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_24_3") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h00000001")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.op_eq.un1_filter_cnt_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_0[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hBFFFFFFF00000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_3[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hEFFFFFFF00000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_5 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_i_o2[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hEF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_4[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hDFFFFFFF00000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000AC")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNI4CB31[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hCAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_3") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_25 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_25") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9669696996969669")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000AC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNO[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCAAAAAAA")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_7 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename cmp_carrier_csr_rddata_reg_22_16 "cmp_carrier_csr.rddata_reg_22[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hCFCF0F0FCFFFAFFF")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_go_1_i_0_o2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.go_1_i_0_o2") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hF0F1")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNO[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_30") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_0_2 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_0[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_carrier_csr_rddata_reg_22_2 "cmp_carrier_csr.rddata_reg_22[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000AAAA0ACAAAAA")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2 "cmp_tdc_clks_rsts_mgment.pll_bit_index_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAA5BBB7AAAABBBB")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_3 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9C6339C6639CC639")) ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d2_4[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08888888")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNI0J132[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000FEFE0000FE00")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_16 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_16") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNIARCB1[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_31") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_6[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00AACCF0F0F0F0F0")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_24_RNIFFS25") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h7FFFFFFFFFFFFFFF")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_6[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00AACCF0F0F0F0F0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000001")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_22 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_22") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000AC")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2_8[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08888888")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_18 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h000000000000000E")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_ren_1_0_a2") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h40000000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_11 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_11") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h936CC9366C9336C9")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_5_sqmuxa") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000000000000AE00")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.un465_tmp") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFEFFFFFFFFFFFFF")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFFFFFFFFE")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_4 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h9C6339C6639CC639")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state_ns_0_0_0_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00000040FF00FF40")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_ivt_ram_wr_o") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2 "gnum_interface_block.cmp_p2l_dma_master.next_item_attrib_o_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0200000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_31 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_24") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hAAAC")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_0 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0F0F0EE")) + (instance (rename cmp_carrier_csr_rddata_reg_22_2 "cmp_carrier_csr.rddata_reg_22[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0A0A0A0A0AAACAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_11_i_o2[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hDFFFFFFF")) + (instance (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d2_4[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h08888888")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_imr_e_0 "cmp_vic.U_Wrapped_VIC.vic_imr_e[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h54F0F0F0")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0_a3_0[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00000080")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_24 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_24") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9669696996969669")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0AA00F0F0AACC")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_23 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_23") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNO[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000AC")) + (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'h000000CA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_19 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_19") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_o2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.ld_5_iv_0_o2") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_18 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_18") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_6 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF0000FFFF5400")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_15 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_15") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_1_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF0FFF1FFF0FFF0")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_14") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000F000F000A000C")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_12 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_12") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000C0000000E0")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_11 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_11") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.isda_oen_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFCFCFCFFAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_10") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000EC000000A0")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_9") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h96C3693C3C96C369")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.nxt_state_decoder.isda_oen_4_iv_i") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hEEEF")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_6 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9C6339C6639CC639")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFEFEFEFFEEEEEE")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_2 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9C6339C6639CC639")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_stop_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000003AA000000AA")) ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0 "cmp_dma_eic.eic_irq_controller_inst.irq_mask_15[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h54F0F0F0")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.un2651_tmp") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFDF")) ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1 "cmp_dma_eic.eic_irq_controller_inst.irq_mask_15[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h54F0F0F0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1OD24_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI1OD24[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000010005")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h54F0F0F0F0F0F0F0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_o_0.ack_3_RNI5CCT1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF0000ECA00000")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_3 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFF00FFFFFF04")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_4 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_8 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_31 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_9 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[9]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_10 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[10]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_12 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[12]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_22 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_13 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[13]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_14 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_15 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_16 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[16]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_17 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[17]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_19") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_20") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_21") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_22") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_23") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_25") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_26") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_27") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56_28") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_26 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un2_wb_cyc_i_0[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h74444444")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_7") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9699696666969969")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1 "cmp_vic.U_Wrapped_VIC.swi_mask_RNO[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h70000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2_8[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h08888888")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0_9[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0060606060606060")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_irq_flag_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.irq_flag_1") (viewRef PRIM (cellRef LUT4_L (libraryRef VIRTEX))) - (property INIT (string "16'h5554")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_1_1[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000000004")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_22 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_22") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d0_5[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0060606060606060")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_17") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_ren_ctl_sts") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00004000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFB000BFFF80008")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_11 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56[11]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hA0CC0CCC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_i[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF0404FFFF0400")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_1_sqmuxa_i_i_a2 "cmp_vic.U_Wrapped_VIC.timeout_count_1_sqmuxa_i_i_a2") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h08")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_a2[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4 "cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_17[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000080")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_bus_wen "cmp_carrier_onewire.U_Wrapped_1W.bus_wen") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h40000000")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7 "cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_29[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000008")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.ack_RNIKT9L1_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000040000000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.ack_RNI9OTG1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00000000BFFFFFFF")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_7") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hA8")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_ren_ctl_sts") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000004000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.ack_RNIKT9L1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFDDDDDDD")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000020300000000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_26 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNO[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0888888888888888")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNI76V61[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0888888888888888")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5554000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstarth_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000200000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h4000000000000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[15]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8000000000000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h2000000000000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_int_write_0_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000100000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_16 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_16") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000800000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_13") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000400000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_3 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9C6339C6639CC639")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un9_div_1_sqmuxa") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00007FFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIMGQ01_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNIMGQ01[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h01")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_RNI50DJ1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFEAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_913_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1 "cmp_sdb_crossbar.crossbar.matrix_logic.6.0.matrix_new_5[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_920_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000AC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_915_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_911_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_910_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_916_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_912_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_ivt_ram_wr_o") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h80000000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_922_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_921_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_919_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_918_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_914_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_917_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_923_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_925_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_924_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h000000002AAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIHVB13") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hB090000000000000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_31 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIHVB13_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0040000000000000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIHVB13_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4000000000000000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNIS8BE1[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sda_chk_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_RNO[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h001F000F0F1F0F0F")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.ack_out_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000000000000CAAA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI2KF6[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[8]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000CA")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNI0J132[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000FEFE0000FE00")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_4 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9C6339C6639CC639")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) - ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_dat_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000200000004")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNIC6V61[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0888888888888888")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIP6BG1_8 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIP6BG1[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h0001FFFF")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9C6339C6639CC639")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_20") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0888888888888888")) - ) - (instance (rename cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0 "cmp_tdc.tdc_core.circular_buffer_block.tstamp_rd_wb_st_ns_i_x2[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hA55AC33CA55A0FF0")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[14]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h000000AC")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_21") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h936CC9366C9336C9")) - ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNO "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress_RNO") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h74444444")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0AA00F0F0AACC")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_25 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_25") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h9669696996969669")) - ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFFFFFFFFE")) - ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIGKT42[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_a2[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000001")) - ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un9_div_1_sqmuxa") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00000000BFFFFFFF")) - ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_cdr_o") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4000000000000000")) - ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_pwr_sel") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000040000000")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIQ0I91[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_o2_2_0_o5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF4000FFFF0000")) - ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_RNO[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h001F000F0F1F0F0F")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNO[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h88AAC8EA00000000")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_25_RNISP4R2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_25_RNI9O0B2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h1000000000000000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h888888F800000000")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_completion_error_e "gnum_interface_block.cmp_p2l_dma_master.completion_error_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCE00CECCCC00CCCC")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_i_i[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h00F0EEF000000000")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_6 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNO[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF0000FFFF5400")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_21[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0200000000000000")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.5.selected_2_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFD00FF0000000000")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI7PNT3[10]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0200000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_1 "cmp_tdc.tdc_core.interrupts_generator.irq_stc_0_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFDFDFDFDFDFDFDFF")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_ack_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFE000000000000")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_bus_ren_ctl_sts_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0004000000000000")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIOVTB1_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIOVTB1[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h03FFFFFF55555555")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIPVTB1[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h03FFFFFF55555555")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNO[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNO[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAAAAAAA")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_pwr_sel") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0040000000000000")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_cdr_o") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4000000000000000")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un9_div_1_sqmuxa") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00000000BFFFFFFF")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCAAAAAAA")) - ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_31 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_22 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[29]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[28]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_26 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFB000BFFF80008")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCCAFCCA0")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCCAFCCA0")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hCCAFCCA0")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over_6_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o_RNO_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0100000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_2_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00010000")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI6GHH1[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0001000000000000")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNI2P1T2[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h0001000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa "cmp_tdc.tdc_core.data_engine_block.config_adr_c_2_sqmuxa") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000EF00FF")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1151_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1151_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1152_m1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_1152_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNIKL3V[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFFFFFFFFFFFFFF")) - ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_24") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF8F0F0F088000000")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_o6_0_o5[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF4000FFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h2AAA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[5]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hCAAAAAAA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCAAAAAAA")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[6]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[4]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_5_i[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h2AAA")) - ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCAAAAAAA")) - ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCAAAAAAA")) - ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[3]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) - ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hCAAAAAAA")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3 "cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_13[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000001000")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0 "cmp_tdc.cmp_tdc_eic.un2_wb_cyc_i[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h7222222222222222")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa "cmp_tdc.cmp_tdc_eic.ack_in_progress_0_sqmuxa") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h40000000")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_5[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1051_b0 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1051_b0") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNICCA26[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hEFFFFFFFFFFFFFFF")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1049_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1049_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1050_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_1050_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_RNO_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100000000")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFF00FFFFFF04")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_int_write_0_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000100000000000")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstarth_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000200000000000")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4000000000000000")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000000000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_1[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2000000000000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000400000000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer_RNO[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hA0A0A0C000000000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000800000000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_4[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000000E0000000C0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.1.vector_or.un1403_tmp_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFE0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000F000F000C000A")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.1.vector_or.un3605_tmp") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFFB")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000000CA0000000A")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_completion_error_e "gnum_interface_block.cmp_p2l_dma_master.completion_error_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hCE00CECCCC00CCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000C000E0000000A")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCCAFCCA0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.isda_oen_e_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h000C000D000F000F")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[1]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCCAFCCA0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_1_lut6_2_RNIK8TQ[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFF4FFFCFFF5FFFF")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m2[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCCAFCCA0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.ld_5_iv") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000000A0000000EC")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_2_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIT0902[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000010000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hDDDFFDFF00022022")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_2_1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFEAC0EAC0EAC0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFFECA00000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_1_lut6_2_RNIR1L41_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000008000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_1_lut6_2_RNIR1L41") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0800000000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0F0D0200FFFDF2F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prerce[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8000000080880000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prerce[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0008000000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFDF2F00F0D0200")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7[0]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h80000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h020F000DF2FFF0FD")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7[2]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h80000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIF24H1_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIF24H1[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFCFFFFFF55555555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFDF2F00F0D0200")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIG24H1_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIG24H1[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h03FFFFFF55555555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h02000F0DF2F0FFFD")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE24H1_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIE24H1[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h30FFFFFF55555555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNID24H1_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNID24H1[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h30CC000055555555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h2F22222222222222")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFDF2F00F0D0200")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1278_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h000D0D0D0D0D0D0D")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFF2FDF00F020D00")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o_r") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hD000000000000000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_34[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFDF2F00F0D0200")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI11L12_0[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_RNO_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0000000100000000")) ) (instance (rename cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO "cmp_tdc.tdc_core.acam_data_block.wr_n_o_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF33FF33FF32FF33")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a3_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000888000000000")) + (property INIT (string "64'hFE32FF33FF33FF33")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_formatting_acam_fifo_ef_3_i_m2 "cmp_tdc.tdc_core.data_formatting_block.tstamp_formatting.acam_fifo_ef_3_i_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hFFA85700")) - ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO "cmp_tdc.tdc_core.acam_data_block.rd_n_o_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1110111111111111")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[18]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[25]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFDFFDDD22022000")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[20]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hDDFDDFFF00200222")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[23]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFDFFDDD22022000")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[19]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hDFFFDDFD02220020")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_m6_lut6_2_RNIIJL22") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0040000000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[12]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.0.vector_or.un1724_tmp") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFEFFFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[16]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_0_sqmuxa_0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3000000010000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[21]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hDFFFDDFD02220020")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_lw_1_sqmuxa_1_0_a2_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000100000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_lw_1_sqmuxa_1_0_a2_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1000000000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[31]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIL7JS2_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIL7JS2[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h30FFFFFF55555555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIM7JS2_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIM7JS2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFCFFFFFF55555555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[14]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_s_RNO[7]") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hCFFF5555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIN7JS2_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIN7JS2[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h03FFFFFF55555555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_RNO") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h2AAA0000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[24]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFDFFDDD22022000")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNO[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0888888888888888")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[22]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hDFFFDDFD02220020")) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_RNID6V61[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0888888888888888")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI579G2_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNI579G2[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFC00FFFF55555555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFDFFDDD22022000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI679G2_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNI679G2[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h03FFFFFF55555555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[30]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI479G2_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNI479G2[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3000FFFF55555555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[27]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFDFFDDD22022000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_3") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h7F00FF0000000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[11]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hDDDFFDFF00022022")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_eoir_wr_o_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h3222222222222222")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_ren_ctl_sts") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h57FF0000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[17]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_pwr_sel") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_43_RNII7UP1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFF08000000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[26]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFDFFDDD22022000")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_904_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h5515555555555555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[13]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr_RNI2QQM2[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00080000FFFFFFFF")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32[15]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFDDFDD22200200")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prerce[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h8A80000000000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_12_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFEFE00FFFFFFFF")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prerce[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4543FFFF00000000")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o21_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hA0A0A000A0A0A0CC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7_0[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0000000440044")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_2_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFAFAFACCFAFAFAFF")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNO[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h88AAC8EA00000000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_26") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h8020080240100401")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_o_0.ack_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFEAC0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000101100000000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr_RNO[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hE2AAAAAAAAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o_e "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_dframe_o_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'h002AFFFF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_29[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h000000FEFF00FFFE")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0_0 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_19_i_s_0_0") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hB8303030")) + (instance (rename cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO "cmp_tdc.tdc_core.acam_data_block.rd_n_o_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h1111111011111111")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[4]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFF3FFF3FC000EA2A")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFAAFCAAFF")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFF3FFF3FC000EA2A")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF202200002022")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[2]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFF3FFF3FC000EA2A")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF202200002022")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3FFF3FFF30003AAA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF202200002022")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hFF3FFF3FC000EA2A")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[9]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF202200002022")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hEA2AEA2AFF3FEA2A")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[10]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF202200002022")) ) (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_2_RNIB1092") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h070F0F0F00000000")) @@ -18224,122 +16986,140 @@ (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_5_RNIE1092") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h070F0F0F00000000")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_0_0_RNIVI68") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_0_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0001000000000000")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_0_0_RNIK268") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h0001000000000000")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1083_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_948_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1091_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_939_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1084_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_938_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1110_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_947_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1092_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_937_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1111_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_931_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1108_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_929_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1107_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_941_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1109_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_944_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1088_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_940_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1087_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_932_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1082_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_933_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1094_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_946_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1093_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_943_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1085_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_949_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1089_b0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hABAAAAAAAAAAAAAA")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_934_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1106_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_945_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1104_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_942_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1101_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_927_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_927_m1") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_953_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1086_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_935_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1112_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_954_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1095_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_950_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1105_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_928_b0 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_928_b0") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_956_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1103_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66 "cmp_tdc.tdc_core.acam_timing_block.start_trig_received_RNIBBM66") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hABAAAAAAAAAAAAAA")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_955_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1099_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_936_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1098_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_930_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1100_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_957_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1097_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_951_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1096_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_952_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hA8AAAAAAAAAAAAAA")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o_RNIHLHG4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hABAAAAAAAAAAAAAA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hEFFFFFFFFFFFFFFF")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_1102_m1") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hA8AAAAAAAAAAAAAA")) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNIAQP02[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) ) (instance (rename Global_rst_generation_rst_cnt_4_iv_i_0 "Global_rst_generation.rst_cnt_4_iv_i[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'h8000F0F00000F0F0")) ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_24") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000001")) + ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_dma_busy_error_e "gnum_interface_block.cmp_p2l_dma_master.dma_busy_error_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) (property INIT (string "64'hAAAA2000FFFF2000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFDDDDDDD")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_ctrl_lw_0_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h44FF4FFF44444444")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_0_sqmuxa_0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h3000000010000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_stat_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4F44444444444444")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_lw_1_sqmuxa_1_0_a2_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_nextl_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4444F44444444444")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_rwsel_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) - (property INIT (string "32'hAAAAC0AA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_cstart_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h4444F44444444444")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_stat_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4F44444444444444")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_hstarth_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h44FF4FFF44444444")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_nexth_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hF444444444444444")) @@ -18350,153 +17130,263 @@ (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_hstartl_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hF444444444444444")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_nextl_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4444F44444444444")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_rwsel_e") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hAAAAC0AA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_hstarth_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h44FF4FFF44444444")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAAAAEA2AAAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_ctrl_lw_0_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h44FF4FFF44444444")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hEA2AAAAAAAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_dma_cstart_lw_1_sqmuxa_2_i_s[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h4444F44444444444")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hA0A0B080AAAAAAAA")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hB8AAAAAAAAAAAAAA")) + (property INIT (string "64'hBA8AAAAAAAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hE2AAAAAAAAAAAAAA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hAAAAEA2AAAAAAAAA")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hB8AAAAAAAAAAAAAA")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hE2AAAAAAAAAAAAAA")) + (property INIT (string "64'hBA8AAAAAAAAAAAAA")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstarth_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAA00B800AAAAAAAA")) + (property INIT (string "64'hA0A0B080AAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAE2AAAAAAAAAA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hEA2AAAAAAAAAAAAA")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAA00B800AAAAAAAA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_25[6]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000008000")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_rwsel_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hAAAAE2AAAAAAAAAA")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1 "cmp_sdb_crossbar.crossbar.matrix_logic.6.0.matrix_new_5[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000001000")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_stb_t_e "gnum_interface_block.cmp_wbmaster32.wb_stb_t_e") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h44447474444474F0")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2_0_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF000F000F000E000")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11_iv[8]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h5AAA5AAA5AAA3CCC")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.un155_tmp") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFFFFE0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o18_6") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h000100010001FFFF")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa "cmp_tdc.tdc_core.data_engine_block.config_adr_c_2_sqmuxa") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00000EEE0EEE0EEE")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI11L12[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFEEFEEEFEEEFEEE")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNO_0[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFF0EEEEEEE0")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_1027_m2") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h4505050555555555")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_2[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0808080000000000")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_ren_1_0_a2_RNI0DAR1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFF4CCC0000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0808080000000000")) ) - (instance (rename cmp_tdc_tdc_core_m4 "cmp_tdc.tdc_core.m4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1000000000000000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1180_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1180_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000001000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1182_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1182_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr_1_sqmuxa_i") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h80000000FFFFFFFF")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1181_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1181_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.2.0.matrix_new_13[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'h4000000000000000")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1179_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1179_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_s_RNO[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) - (property INIT (string "64'hCFFFFFFF55555555")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1173_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1173_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_58_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h5A66")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1167_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1167_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_34_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h5A66")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1166_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1166_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_34_RNO_0") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h5A66")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1164_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1164_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIJ7CR_7 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNIJ7CR[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hB42D4BD2")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1184_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1184_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1158_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1158_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00515151")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1156_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1156_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00515151")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_cry_cy_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1155_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1155_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00515151")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1165_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1165_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1160_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1160_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00515151")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1159_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1159_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00515151")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1157_m2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1157_m2") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'h00515151")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1186_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1186_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1185_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1185_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_thru_8 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_s_thru[8]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) - (property INIT (string "2'h2")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1171_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1171_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_83 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_83") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1174_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1174_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_cry_30 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_time_c_cry[30]") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1169_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1169_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_7 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c_cry[7]") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1161_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1161_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_43") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1183_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1183_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_19") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1168_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1168_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_43") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1178_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1178_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_19") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1176_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1176_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_43") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1175_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1175_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_83") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1172_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1172_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_83") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1170_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1170_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_0[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1177_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1177_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1163_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1163_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1162_N_6_i "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_1162_N_6_i") (viewRef PRIM (cellRef LUT5_L (libraryRef VIRTEXR))) + (property INIT (string "32'hFF5D5D5D")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_RNICPE52[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFFFFF5553")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAAAAAFACAAAAAFAF")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_sclk_oreg "cmp_tdc_clks_rsts_mgment.sclk_oreg") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - (property IOB (string "TRUE")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_s_RNO[7]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hCFFFFFFF55555555")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) - (property IOB (string "TRUE")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFF20FF00FF00FF00")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_rep0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.isda_oen_rep0") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) - (property IOB (string "TRUE")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_19_i_s_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h2F200F000F000F00")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_rep0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.iscl_oen_rep0") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) - (property IOB (string "TRUE")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm_0[5]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hEC4CEC4CFF5FEC4C")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg_RNIOMCR[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000100000000")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO "cmp_tdc.cmp_tdc_eic.ack_in_progress_RNO") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h7222222222222222")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.ld_5_iv_0") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFF00020000")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_int_write_1_sqmuxa_0_a2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000001000")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIQVTB1_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIQVTB1[1]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h03FFFFFF55555555")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIPVTB1[0]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h03FFFFFF55555555")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_13[3]") (viewRef PRIM (cellRef LUT6_L (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000080")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIE33P_7 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_RNIE33P[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h4BD2B42D")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_cry_cy_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_cy_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_0 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_cry_cy[0]") (viewRef PRIM (cellRef MUXCY_L (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_thru_8 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_s_thru[8]") (viewRef PRIM (cellRef LUT1_L (libraryRef VIRTEX))) + (property INIT (string "2'h2")) + ) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_83 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_83") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_cry_30 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_time_c_cry[30]") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_7 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c_cry[7]") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_43") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_19") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_43") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_19") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_43") (viewRef PRIM (cellRef MUXCY (libraryRef VIRTEX))) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_0[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_sclk_oreg "cmp_tdc_clks_rsts_mgment.sclk_oreg") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) + (property IOB (string "TRUE")) + ) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) (property IOB (string "TRUE")) ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_rep0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.isda_oen_rep0") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) + (property IOB (string "TRUE")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_rep0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.iscl_oen_rep0") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) + (property IOB (string "TRUE")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) + (property IOB (string "TRUE")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_current_irq_0_0 "cmp_vic.U_Wrapped_VIC.current_irq_0[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_31 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter[31]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_30 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter[30]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) @@ -18845,134 +17735,6 @@ ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_31 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_30 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_29 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_28 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_27 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_26 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_25 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_24 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_23 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_22 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_21 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_20 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_19 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_18 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_17 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_16 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_15 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_14 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_13 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_12 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_11 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_10 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_9 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_8 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_7 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_6 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_5 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_4 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_3 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_2 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_0 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_31 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_0 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_29 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_28 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19033,134 +17795,6 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_31 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_30 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_29 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_28 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_27 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_26 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_25 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_24 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_23 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_22 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_21 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_20 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_19 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_18 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_17 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_16 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_15 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_14 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_13 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_12 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_11 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_10 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_9 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_8 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_7 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_6 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_5 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_4 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_3 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_2 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_0 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_31 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_0 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_10 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19349,7 +17983,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_8") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_10 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_10") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_9 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_9") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_11") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19361,9 +17995,9 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_15") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_17") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_16 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_16") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_18 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_18") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_17") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_19") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19373,30 +18007,32 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_22") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_23 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_23") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_24") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_25") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_26 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_26") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_27") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_28") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_29") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_30 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_30") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_31") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_32") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_33") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_34 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_34") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_35") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_36") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_37 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_37") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_38") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_39") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19405,10 +18041,10 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_41") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_42 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_42") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_43") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_44 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_44") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_45") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_46") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19419,7 +18055,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_49") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_50 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_50") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_51 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_51") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_52") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19445,7 +18081,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_64") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_66 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_66") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_65 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_65") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_67") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19457,9 +18093,9 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_71") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_73") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_72 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_72") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_74 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_74") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_73") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_75") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19469,30 +18105,32 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_78") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_79 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_79") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_80") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_81") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_82 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_82") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_83") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_84") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_85") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_86 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_86") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_87") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_88") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_89") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_90 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_90") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_91") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_92") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_93 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_93") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_94") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_95") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19501,10 +18139,10 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_97") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_98 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_98") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_99") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_100 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_100") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_101") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_102") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19515,7 +18153,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_105") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_106 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_106") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_107 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_107") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_108") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19541,7 +18179,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_120") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_122 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_122") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_121 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_121") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_123") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19553,9 +18191,9 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_127") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_129") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_128 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_128") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_130 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_130") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_129") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_131") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19565,30 +18203,32 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_134") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_135 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_135") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_136") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_137") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_138 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_138") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_139") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_140") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_141") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_142 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_142") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_143") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_144") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_145") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_146 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_146") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_147") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_148") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_149 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_149") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_150") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_151") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19597,10 +18237,10 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_153") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_154 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_154") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_155") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_156 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_156") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_157") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_158") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19611,7 +18251,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_161") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_162 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_162") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_163 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_163") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_164") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19681,7 +18321,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_201") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_203 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_203") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_202 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_202") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_204") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19695,9 +18335,9 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_209") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_211") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_210 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_210") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_212 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_212") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_211") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_213") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19711,9 +18351,9 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_218") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_220") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_219 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_219") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_221 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_221") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_220") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_222") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19729,7 +18369,7 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_228") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_230 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_230") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_229 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_229") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_231") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -19747,6 +18387,64 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_238") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_240 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_240") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_241 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_241") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_242 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_242") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_243 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_243") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_244 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_244") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_245 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_245") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_246 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_246") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_247 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_247") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_248 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_248") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_250 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_250") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_251 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_251") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_252 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_252") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_253 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_253") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_254 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_254") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_255 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_255") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_256 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_256") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_257 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_257") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_258 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_258") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_259 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_259") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_261 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_261") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_262 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_262") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_263 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_263") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_264 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_264") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_265 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_265") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_266 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_266") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_267 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_267") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_268 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_268") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_269 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_269") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_270 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_270") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_0") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19901,8 +18599,6 @@ ) (instance (rename cmp_carrier_csr_ack_in_progress "cmp_carrier_csr.ack_in_progress") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_5 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_4 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_3 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19911,8 +18607,6 @@ ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_0 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_20 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_19 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_18 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -19941,7 +18635,7 @@ ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_6 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_5 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_2 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) @@ -19971,7 +18665,7 @@ ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_21 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[18]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_20 "gnum_interface_block.cmp_p2l_decode32.p2l_addr[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_17 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[17]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) @@ -20001,7 +18695,7 @@ ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) @@ -20031,7 +18725,7 @@ ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_19 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[19]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[16]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t[18]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_15 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[15]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) @@ -20061,7 +18755,7 @@ ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_2 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[31]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_30 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[30]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) @@ -20091,6 +18785,10 @@ ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_17 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[17]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[16]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_o[31]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_d_31 "gnum_interface_block.cmp_p2l_decode32.p2l_d[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_d_30 "gnum_interface_block.cmp_p2l_decode32.p2l_d[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -20413,16 +19111,6 @@ ) (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_17 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o[17]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[7]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[6]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[5]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[4]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[3]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) (instance (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_is_zero_o") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig4_o "cmp_tdc.tdc_core.TDCboard_leds.tdc_led_trig4_o") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) @@ -20434,6 +19122,8 @@ (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o "cmp_tdc.tdc_core.TDCboard_leds.tdc_led_trig2_o") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) (property IOB (string "TRUE")) ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st[0]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) + ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_acam_data_block_cs_n_o "cmp_tdc.tdc_core.acam_data_block.cs_n_o") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) @@ -20443,6 +19133,12 @@ ) (instance (rename cmp_tdc_cmp_tdc_eic_ack_in_progress "cmp_tdc.cmp_tdc_eic.ack_in_progress") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) + (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_2 "cmp_tdc.cmp_tdc_eic.rddata_reg[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_1 "cmp_tdc.cmp_tdc_eic.rddata_reg[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_0 "cmp_tdc.cmp_tdc_eic.rddata_reg[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename cmp_tdc_cmp_tdc_eic_eic_idr_write_int "cmp_tdc.cmp_tdc_eic.eic_idr_write_int") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename cmp_tdc_cmp_tdc_eic_eic_ier_write_int "cmp_tdc.cmp_tdc_eic.eic_ier_write_int") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -20636,8 +19332,6 @@ ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer[14]") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer[13]") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) - ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer[12]") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer[11]") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) @@ -20664,7 +19358,13 @@ ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer[0]") (viewRef PRIM (cellRef FDPE (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -20784,8 +19484,6 @@ ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.isda_oen") (viewRef PRIM (cellRef FDP (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.ack") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -21015,8 +19713,6 @@ ) (instance (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_0 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2[0]") (viewRef PRIM (cellRef FDE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_sel_o_1_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_sel_o_1[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_rx_error_o "gnum_interface_block.cmp_p2l_dma_master.rx_error_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) (property IOB (string "TRUE")) ) @@ -21034,38 +19730,6 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_4 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state[4]") (viewRef PRIM (cellRef FDP (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_6 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_5 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_4 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_3 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_2 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_0 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_19 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_18 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_17 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_16 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_15 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_14 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -21078,9 +19742,19 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_6 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_28 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_5 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_4 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_3 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_2 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_0 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -21094,91 +19768,29 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_wr") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_9 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_8 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_7 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_6 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_5 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_4 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_3 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_2 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_adr_o_1[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_31 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_30 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[30]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_29 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_28 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_27 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[27]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_26 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[26]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_25 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_24 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_23 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_22 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_21 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_20 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_19 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_18 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_17 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_16 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_15 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_14 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_13 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_12 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_11 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_10 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_9 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_19 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_8 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_18 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_7 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_17 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_6 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_16 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_5 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_15 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_4 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_14 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_3 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_2 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[29]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_28 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt[28]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_dat_o[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_wr") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_is_next_item "gnum_interface_block.cmp_p2l_dma_master.is_next_item") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -21988,8 +20600,6 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_cyc_t "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_cyc_t") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_sel_o_1_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_sel_o_1[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid "gnum_interface_block.cmp_l2p_dma_master.data_fifo_valid") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_valid "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_valid") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) @@ -22010,6 +20620,18 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state[7]") (viewRef PRIM (cellRef FDP (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -22018,6 +20640,18 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[18]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[17]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -22036,17 +20670,17 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[9]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[8]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -22066,17 +20700,17 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[25]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[24]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[24]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[23]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[23]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[22]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[22]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[21]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[21]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[20]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[20]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt[19]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[19]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[18]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) @@ -22096,18 +20730,6 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[10]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[9]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[8]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_31 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[31]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_30 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[30]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -22122,19 +20744,7 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[25]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[24]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[23]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[22]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[21]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[20]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l[19]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o "gnum_interface_block.cmp_l2p_dma_master.dma_ctrl_error_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o "gnum_interface_block.cmp_l2p_dma_master.dma_ctrl_error_o") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_31 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h[31]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) @@ -22200,26 +20810,6 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[9]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[8]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[7]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[6]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_adr_o[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o "gnum_interface_block.cmp_l2p_dma_master.l2p_edb_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -22292,18 +20882,6 @@ ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[5]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[4]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[3]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[1]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[0]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_req_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -24908,10 +23486,6 @@ ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_error_irq "gnum_interface_block.cmp_dma_controller.dma_error_irq") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_l2p_o") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_p2l_o") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_2 "gnum_interface_block.cmp_dma_controller.dma_status[2]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wr "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_wr") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -24930,6 +23504,16 @@ ) (instance (rename gnum_interface_block_cmp_wbmaster32_wb_we_t "gnum_interface_block.cmp_wbmaster32.wb_we_t") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_16 "gnum_interface_block.cmp_wbmaster32.wb_adr_t[16]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_15 "gnum_interface_block.cmp_wbmaster32.wb_adr_t[15]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_14 "gnum_interface_block.cmp_wbmaster32.wb_adr_t[14]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_13 "gnum_interface_block.cmp_wbmaster32.wb_adr_t[13]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_12 "gnum_interface_block.cmp_wbmaster32.wb_adr_t[12]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_11 "gnum_interface_block.cmp_wbmaster32.wb_adr_t[11]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_10 "gnum_interface_block.cmp_wbmaster32.wb_adr_t[10]") (viewRef PRIM (cellRef FDCE (libraryRef UNILIB))) @@ -25436,8 +24020,6 @@ ) (instance (rename cmp_tdc_clks_rsts_mgment_rst_in_synch_RNIS1FA_1 "cmp_tdc_clks_rsts_mgment.rst_in_synch_RNIS1FA[1]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIG6R5_2 "cmp_sdb_crossbar.crossbar.matrix_old_RNIG6R5[2]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) - ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNI6Q07 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_in_progress_RNI6Q07") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_rst_reg_RNIJR3A "gnum_interface_block.rst_reg_RNIJR3A") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) @@ -25450,26 +24032,16 @@ ) (instance (rename cmp_tdc_clks_rsts_mgment_internal_rst_synch_RNI27F3_1 "cmp_tdc_clks_rsts_mgment.internal_rst_synch_RNI27F3[1]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_0 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s[0]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_0 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s[0]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_0 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s[0]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_0 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s[0]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIG6R5_2 "cmp_sdb_crossbar.crossbar.matrix_old_RNIG6R5[2]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_s_0 "cmp_tdc.tdc_core.data_formatting_block.wr_index_s[0]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_83_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1248")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_I_83_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1248")) + (instance (rename cmp_vic_U_Wrapped_VIC_timeout_count_s_0 "cmp_vic.U_Wrapped_VIC.timeout_count_s[0]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) (instance (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43_RNO "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_I_43_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_24 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_24") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_19_RNO") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h12")) ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_43_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) @@ -25478,7 +24050,7 @@ (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_19_RNO") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h12")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_I_43_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_3_i "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_3_i") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hA599")) ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df7 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c_df7") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) @@ -25490,6 +24062,9 @@ (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_88 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_88") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8421")) ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_cry_cy_RNO[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hF222")) + ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII88E_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNII88E[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hB1")) ) @@ -25547,19 +24122,19 @@ (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFGVP_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIFGVP[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h369C")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIKH9T_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNIKH9T[9]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNIKH9T_11 "gnum_interface_block.cmp_dma_controller.dma_ctrl_len_o_RNIKH9T[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h369C")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII99T_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNII99T[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNII99T_10 "gnum_interface_block.cmp_dma_controller.dma_ctrl_len_o_RNII99T[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h369C")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI9OB21_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNI9OB21[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI9OB21_9 "gnum_interface_block.cmp_dma_controller.dma_ctrl_len_o_RNI9OB21[9]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h369C")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI7KB21_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt_RNI7KB21[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI7KB21_8 "gnum_interface_block.cmp_dma_controller.dma_ctrl_len_o_RNI7KB21[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h369C")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0_RNO "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_0_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance m2_s_1_i_0_m2_cry_0_RNO (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h369C")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNINMIC_28 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt_RNINMIC[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) @@ -25892,7 +24467,7 @@ (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI542E_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_RNI542E[1]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_765_cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_765_cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_770_cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_770_cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIU0C3_30 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIU0C3[30]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) @@ -25985,28 +24560,28 @@ (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIG8KB_1 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_RNIG8KB[1]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_733_cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_733_cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_738_cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_738_cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_731_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_731_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_736_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_736_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_730_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_730_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_735_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_735_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_729_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_729_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_734_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_734_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_728_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_728_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_733_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_733_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_727_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_727_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_732_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_732_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_726_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_726_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_731_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_731_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_725_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_725_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_730_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_730_gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_RNI90TA_2 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_RNI90TA[2]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) @@ -26018,28 +24593,28 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_RNO_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_cry_RNO[0]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_720_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_720_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_725_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_725_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_719_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_719_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_724_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_724_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_718_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_718_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_723_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_723_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_717_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_717_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_722_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_722_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_716_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_716_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_721_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_721_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_715_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_715_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_720_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_720_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_714_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_714_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_719_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_719_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_713_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_713_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_718_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_718_gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_RNI0QAF_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_RNI0QAF[1]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) @@ -26255,192 +24830,6 @@ (instance (rename cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_1 "cmp_tdc_clks_rsts_mgment.un1_rst_cnt_axb_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQS26_0_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIQS26_0[30]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI2146_0_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI2146_0[29]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1T36_0_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI1T36_0[28]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0P36_0_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI0P36_0[27]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVK36_0_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIVK36_0[26]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUG36_0_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIUG36_0[25]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITC36_0_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNITC36_0[24]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIS836_0_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIS836_0[23]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR436_0_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIR436_0[22]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ036_0_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIQ036_0[21]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIPS26_0_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIPS26_0[20]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1146_0_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI1146_0[19]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0T36_0_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI0T36_0[18]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVO36_0_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIVO36_0[17]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUK36_0_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIUK36_0[16]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITG36_0_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNITG36_0[15]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNISC36_0_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNISC36_0[14]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR836_0_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIR836_0[13]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ436_0_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIQ436_0[12]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIP036_0_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIP036_0[11]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIOS26_0_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIOS26_0[10]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIGJKD_0_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIGJKD_0[9]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIFJKD_0_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIFJKD_0[8]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIEJKD_0_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIEJKD_0[7]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIDJKD_0_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIDJKD_0[6]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNICJKD_0_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNICJKD_0[5]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIBJKD_0_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIBJKD_0[4]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIAJKD_0_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNIAJKD_0[3]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI9JKD_0_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI9JKD_0[2]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI8JKD_0_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_RNI8JKD_0[1]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0_RNO "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_0_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQS26_0_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIQS26_0[30]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI2146_0_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI2146_0[29]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1T36_0_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI1T36_0[28]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0P36_0_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI0P36_0[27]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVK36_0_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIVK36_0[26]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUG36_0_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIUG36_0[25]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITC36_0_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNITC36_0[24]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIS836_0_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIS836_0[23]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR436_0_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIR436_0[22]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ036_0_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIQ036_0[21]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIPS26_0_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIPS26_0[20]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1146_0_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI1146_0[19]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0T36_0_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI0T36_0[18]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVO36_0_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIVO36_0[17]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUK36_0_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIUK36_0[16]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITG36_0_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNITG36_0[15]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNISC36_0_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNISC36_0[14]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR836_0_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIR836_0[13]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ436_0_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIQ436_0[12]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIP036_0_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIP036_0[11]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIOS26_0_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIOS26_0[10]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIGJKD_0_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIGJKD_0[9]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIFJKD_0_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIFJKD_0[8]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIEJKD_0_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIEJKD_0[7]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIDJKD_0_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIDJKD_0[6]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNICJKD_0_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNICJKD_0[5]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIBJKD_0_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIBJKD_0[4]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIAJKD_0_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNIAJKD_0[3]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI9JKD_0_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI9JKD_0[2]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI8JKD_0_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_RNI8JKD_0[1]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0_RNO "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_0_RNO") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) (instance (rename cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_30 "cmp_tdc.tdc_core.one_second_block.un1_total_delay_axb_30") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h2")) ) @@ -26615,56 +25004,14 @@ (instance (rename cmp_tdc_tdc_core_reg_control_block_start_phase_RNIJAC4_2 "cmp_tdc.tdc_core.reg_control_block.start_phase_RNIJAC4[2]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_16") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_15") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_14") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_13") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_12") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_11") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_10") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_9") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_8") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_7") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_4") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_3") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) - ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_2") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_3") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_1") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_2") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_0") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (property INIT (string "2'h2")) ) (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_22_sf "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_22_sf") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) @@ -26732,18 +25079,9 @@ (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_sf "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_1_sf") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1113_cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_990_cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_3") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_2") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_1") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) - (property INIT (string "2'h2")) - ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI8LS5_30 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNI8LS5[30]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) @@ -26927,9 +25265,6 @@ (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_a_4_axb_1") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_0_RNO "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_a_4_cry_0_RNO") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h9")) - ) (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df28 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_time_c_df28") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h8421")) ) @@ -26987,16 +25322,16 @@ (instance (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_RNO_0 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c_cry_RNO[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h9")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_18") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_11_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h1004")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_10") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_1_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h4002")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_18") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_11_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h1004")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_10") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_I_1_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h4002")) ) (instance (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_toggle "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_toggle") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) @@ -27010,271 +25345,277 @@ ) (instance (rename cmp_tdc_clks_rsts_mgment_internal_rst_synch_0_1 "cmp_tdc_clks_rsts_mgment.internal_rst_synch_0[1]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_5 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_6 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[6]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[4]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_7 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[7]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_8 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[8]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[9]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[14]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[15]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[16]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[13]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[22]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[12]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[24]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[11]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[25]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[10]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_26 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[26]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[21]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_27 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[27]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[20]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_28 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[28]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[19]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_29 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[29]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[18]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[30]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[17]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[31]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[23]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_0 "cmp_tdc.tdc_core.data_engine_block.engine_st[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[24]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_9 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg[9]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[22]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_1 "cmp_tdc.tdc_core.data_engine_block.engine_st[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[9]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_2 "cmp_tdc.tdc_core.data_engine_block.engine_st[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_8 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[8]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_7 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[7]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_5 "cmp_tdc.tdc_core.data_engine_block.engine_st[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_6 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[6]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_3 "cmp_tdc.tdc_core.data_engine_block.engine_st[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_5 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_10 "cmp_tdc.tdc_core.data_engine_block.engine_st[10]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[31]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_4 "cmp_tdc.tdc_core.data_engine_block.engine_st[4]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[30]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_7 "cmp_tdc.tdc_core.data_engine_block.engine_st[7]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[25]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_8 "cmp_tdc.tdc_core.data_engine_block.engine_st[8]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_26 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[26]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_2 "cmp_vic.U_Wrapped_VIC.state[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_27 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[27]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_1 "cmp_vic.U_Wrapped_VIC.state[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_28 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[28]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_4 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[4]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_29 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[29]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[14]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[16]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_0 "cmp_tdc.tdc_core.data_engine_block.engine_st[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_is_zero_o "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_is_zero_o") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_9 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg[9]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_2 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_1 "cmp_tdc.tdc_core.data_engine_block.engine_st[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_5 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_2 "cmp_tdc.tdc_core.data_engine_block.engine_st[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_3 "cmp_vic.U_Wrapped_VIC.state[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_4 "cmp_tdc.tdc_core.data_engine_block.engine_st[4]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_6 "cmp_tdc.tdc_core.data_engine_block.engine_st[6]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_3 "cmp_tdc.tdc_core.data_engine_block.engine_st[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_5 "cmp_tdc.tdc_core.data_engine_block.engine_st[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[4]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_6 "cmp_tdc.tdc_core.data_engine_block.engine_st[6]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[18]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_8 "cmp_tdc.tdc_core.data_engine_block.engine_st[8]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[19]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_10 "cmp_tdc.tdc_core.data_engine_block.engine_st[10]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[20]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_7 "cmp_tdc.tdc_core.data_engine_block.engine_st[7]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[21]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_vic_U_Wrapped_VIC_state_1 "cmp_vic.U_Wrapped_VIC.state[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[23]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[17]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[10]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[11]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_4 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[4]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[15]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) - (property INIT (string "0")) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_is_zero_o "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_is_zero_o") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_2 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[1]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_vic_U_Wrapped_VIC_state_2 "cmp_vic.U_Wrapped_VIC.state[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[12]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_5 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter[13]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + (instance (rename cmp_vic_U_Wrapped_VIC_state_3 "cmp_vic.U_Wrapped_VIC.state[3]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) (property INIT (string "0")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_13 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_12 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_15 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_11 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_10 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_17 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_23 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_21 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_5 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_RNO[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000002")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_7 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFF0FFFD")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFEFFFA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h1DDD0CCC")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_srsts_0_a3_5 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_srsts_0_a3[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000002")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_3 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hA0ECA0A0")) ) (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_4 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hECA0")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFBAFFAA")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hC5F5C0F0")) - ) (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hA0ECA0A0")) + (property INIT (string "32'h303A3030")) ) (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAAAEAAAA")) - ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_31 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00008AAA")) + (property INIT (string "32'hCCCECCCC")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_30 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_29 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[29]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_29 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[29]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_28 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[28]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_28 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[28]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_27 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_27 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_26 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_26 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[25]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[25]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_24 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_30 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_22 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_31 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_22 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_24 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00008AAA")) ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_23 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_17 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_21 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_10 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_11 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_12 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_13 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_15 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_RNO[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFF8AAA")) + ) (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_9 "cmp_tdc.tdc_core.data_engine_block.engine_st[9]") (viewRef PRIM (cellRef FDS (libraryRef UNILIB))) (property INIT (string "1")) ) @@ -27298,13 +25639,16 @@ (instance (rename cmp_tdc_tdc_core_acam_data_block_ef2_synch_1 "cmp_tdc.tdc_core.acam_data_block.ef2_synch[1]") (viewRef PRIM (cellRef FDS (libraryRef UNILIB))) (property INIT (string "1")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_6 "cmp_tdc_clks_rsts_mgment.pll_byte_index[6]") (viewRef PRIM (cellRef FDS (libraryRef UNILIB))) - ) (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_1 "cmp_tdc_clks_rsts_mgment.pll_byte_index[1]") (viewRef PRIM (cellRef FDS (libraryRef UNILIB))) ) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_6 "cmp_tdc_clks_rsts_mgment.pll_byte_index[6]") (viewRef PRIM (cellRef FDS (libraryRef UNILIB))) + ) (instance rst_0_sqmuxa_i (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h7FFFFFFF")) ) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNO_4 "cmp_vic.U_Wrapped_VIC.state_RNO[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hEEAE")) + ) (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_9 "cmp_tdc.tdc_core.data_engine_block.engine_st_srsts[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hCCCCCCCE")) ) @@ -27329,6 +25673,12 @@ (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_RNO_0 "gnum_interface_block.cmp_dma_controller.dma_status_RNO[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hEEF0")) ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_ldmx "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_l2p_o_ldmx") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF530F0F0")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_ldmx "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_p2l_o_ldmx") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFA30F0F0")) + ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_RNO "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_lw_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hBBF0")) ) @@ -27356,28 +25706,46 @@ (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_RNO "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_lw_RNO") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hBBF0")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNO "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNO") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hECECFF00")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hD0D0FF00")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNO[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hBBF0")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4_0_a3_0_a3_0_a5[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h2020FF00")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4_0_a3_0_a3_0_a5[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h2020FF00")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4_0_a3_0_a3_0_a5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h2020FF00")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4_0_a3_0_a3_0_a5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h2020FF00")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4_0_a3_0_a3_0_a5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h2020FF00")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_7 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNO[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCAAACAAAFFFF0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_6 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNO[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hACCCACCCFFFF0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_4 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNO[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hCAAACAAAFFFF0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_3 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNO[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hACCCACCCFFFF0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_2 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNO[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hACCCACCCFFFF0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_1 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNO[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hACCCACCCFFFF0000")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_rdt[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_0 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNO[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hACCCACCCFFFF0000")) ) (instance (rename cmp_dma_eic_rddata_reg_96_1 "cmp_dma_eic.rddata_reg_96[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) @@ -27389,53 +25757,35 @@ (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNO[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00080008FFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr_RNO[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CA00CAFFFF0000")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr_RNO[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CA00CAFFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr_RNO[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CA00CAFFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr_RNO[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CA00CAFFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr_RNO[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CA00CAFFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr_RNO[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CA00CAFFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr_RNO[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00CA00CAFFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1_a2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4_1_a2[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_register.sr_4[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h00AC00ACFFFF0000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF000404CCCCCCCC")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF000202AAAAAAAA")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7_0[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hFF000404CCCCCCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF000202AAAAAAAA")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_94_2 "cmp_tdc.cmp_tdc_eic.rddata_reg_94[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hACCCACCCFFFF0000")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_94_1 "cmp_tdc.cmp_tdc_eic.rddata_reg_94[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hACCCACCCFFFF0000")) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_94_0 "cmp_tdc.cmp_tdc_eic.rddata_reg_94[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hACCCACCCFFFF0000")) - ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO "gnum_interface_block.cmp_l2p_arbiter.arb_ldm_gnt_RNO") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h00000C04FF00FF00")) + (property INIT (string "64'h000000C4F0F0F0F0")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_9 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_lm_0[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hB8B8FF00")) @@ -27467,9 +25817,12 @@ (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_lm_0[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hA3CC")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6 "cmp_sdb_crossbar.crossbar.matrix_logic.1.0.matrix_new_25[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_9_2 "cmp_sdb_crossbar.crossbar.matrix_logic.5.0.matrix_new_9[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h80008000FFFF0000")) ) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_21_5 "cmp_sdb_crossbar.crossbar.matrix_logic.2.0.matrix_new_21[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0808FF00")) + ) (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_0 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg_RNO[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'h40004000FFFF0000")) ) @@ -27542,194 +25895,203 @@ (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNO_28 "cmp_vic.U_Wrapped_VIC.vic_var_RNO[28]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hB3B3FF00")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNO[7]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEFFEEEFFEFEEEEE")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o_r_e") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000808080")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNO[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hEEFFEEFFEEAEEEAA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNO[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0CC55CCF0CCF0CC")) ) (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_RNO[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000100010001")) + (property INIT (string "64'h0000000200000003")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_RNO_0[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFF08")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNO[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h10DCDCDC00CCCCCC")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_RNO_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFFFFFF0000A800")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNO[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFCFCFCFECCCCCCEE")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_RNO[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_2[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00F0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_4[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00F0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[14]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[16]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[12]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_2[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF005555F0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_4[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF005555F0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[8]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0FF00AAAACCCC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F07700F0F0FF00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_1[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h7700F0F0FF00F0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[27]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[28]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO[3]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF003333AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hAACCF0FFAACCF000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF003333AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333FF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333FF00AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[17]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[20]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_3[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_5[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[1]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F055553333")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[24]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[22]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F055553333")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[24]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[22]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_3[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0FF00AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[30]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[29]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F05555CCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hAACCF0FFAACCF000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[23]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F05555CCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[23]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF055CC55")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_2[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h55F055CC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[19]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[2]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[2]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF003333AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_2[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF003333AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[22]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[1]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_2[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF003333AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_2[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_4[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF003333AAAAF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[21]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI31L12[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h000000000000073B")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIH78E4_3 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNIH78E4[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hC5")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[6]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI0ERQ6_0 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0_RNI0ERQ6[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[6]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[11]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[26]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[11]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_RNO_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFF0000A800")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_RNO_1[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFF08")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F07700F0F0FF00")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg_RNO[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAA3333F0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_1[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h7700F0F0FF00F0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[15]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAA3333F0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO[3]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0 "cmp_tdc_clks_rsts_mgment.dac_word_RNIGE4E1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEDCBA9876543210")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[15]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00F0F0AAAA")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16 "cmp_tdc_clks_rsts_mgment.dac_word_RNI3HDV1[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFB73EA62D951C840")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00F0F0AAAA")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIOR5J3_4 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIOR5J3[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5500444450504444")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[18]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333FF00F0F05555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5500444450504444")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[21]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333FF00F0F05555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[21]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCAAAAFF00F0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCAAAAFF00F0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[13]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNO_0[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0A000A000A00CECC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[25]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCAAAAFF00F0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNO_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0A000A000A00CE00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[26]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCAAAAFF00F0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNO[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNIKQ4E1_1 "cmp_tdc_clks_rsts_mgment.dac_word_RNIKQ4E1[1]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEDCBA9876543210")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[26]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0F553355")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNI7LDV1_17 "cmp_tdc_clks_rsts_mgment.dac_word_RNI7LDV1[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFB73EA62D951C840")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0F553355")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNI0C6J3_4 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNI0C6J3[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF005555CCCCF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF005555CCCCF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[3]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[2]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF0AFF0AFF0AFF3B")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hDCCC")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCAAAAFF00F0F0")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCAAAAFF00F0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[23]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNILLOM1_2 "cmp_tdc_clks_rsts_mgment.dac_word_RNILLOM1[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEDCBA9876543210")) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNI88182_18 "cmp_tdc_clks_rsts_mgment.dac_word_RNI88182[18]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFB73EA62D951C840")) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNI2QD44_4 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNI2QD44[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_0[4]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) (property INIT (string "64'hF0F07700F0F0FF00")) ) @@ -27737,290 +26099,270 @@ (property INIT (string "64'h7700F0F0FF00F0F0")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_0[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F07700F0F0FF00")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_1[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h7700F0F0FF00F0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[7]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO[2]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[31]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_9[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h0FAA33AA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_10[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h0FAA33AA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[11]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[25]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F0FF00AAAACCCC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[6]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[25]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEDCBA9876543210")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_2[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFB73EA62D951C840")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_4[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hCCCCFF00AAAAF0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_0[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hF0F07700F0F0FF00")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO_1[2]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h7700F0F0FF00F0F0")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[27]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNO[2]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[27]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[30]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[12]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFEDCBA9876543210")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[30]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFB73EA62D951C840")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[31]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00AAAACCCCF0F0")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[31]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[10]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_23 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[23]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[6]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[20]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h0F0F00FF55553333")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[20]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[21]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[26]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[9]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5500444450504444")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[26]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0FAA33AA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h5500444450504444")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_9[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0FAA33AA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[16]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[5]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[9]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[18]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[31]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0FAA33AA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF0AACCAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_8[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0FAA33AA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_0[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_18 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[18]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0FF00AAAACCCC")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_1[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000200")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[7]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_16 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_0[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_2") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEDCBA9876543210")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_16 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO_1[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000008")) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFB73EA62D951C840")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_16 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNO[16]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_0[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[25]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO_1[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[25]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_32_RNO[15]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[25]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0 "cmp_tdc_clks_rsts_mgment.dac_word_RNIGE4E1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEDCBA9876543210")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16 "cmp_tdc_clks_rsts_mgment.dac_word_RNI3HDV1[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFB73EA62D951C840")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_20 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[20]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIOR5J3_4 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIOR5J3[4]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_2[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_4[9]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFF00F0F0CCCCAAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[18]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[9]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_4[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0FF00AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[9]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_6_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[9]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0FF00AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_7[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[12]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hCCF0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[11]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[12]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAACCF0FFAACCF000")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[12]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFEDCBA9876543210")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[5]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_5") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hFB73EA62D951C840")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[17]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_3[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0FF00AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[17]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[22]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF05555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_3[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0FF00AAAACCCC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[22]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h5500444450504444")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[30]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h5500444450504444")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[19]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAAFFBBBBAFAFBBBB")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[19]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_0_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNO_0[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h10")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAAFFBBBBAFAFBBBB")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_1_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNO_1[0]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'h1010000010001010")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_3[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF0F0FF00AAAACCCC")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNO[0]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF05555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[2]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF05555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_0[20]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_1[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[3]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO_3[30]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF05555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_34_RNO[30]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[1]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[27]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF0AACCAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[14]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_27 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[27]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_4[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333FF00F0F0AAAA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h3333FF00F0F0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[14]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFACF0AC0FAC00AC")) + (property INIT (string "64'hFCFAFC0A0CFA0C0A")) ) (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO_1") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFACF0AC0FAC00AC")) + (property INIT (string "64'hFCFAFC0A0CFA0C0A")) ) (instance (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5_RNO") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[31]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[31]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hD1D1DD11")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[11]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hCCF0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[10]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[11]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hD1D1DD11")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hCCF05555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[6]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[15]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h2E2E22EE")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h330F5555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[16]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h11DD1D1D")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[15]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF0AAAA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h111BB1BB")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[15]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hD1D1DD11")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hCCF0AAAA")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[13]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[1]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hD1D1DD11")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[23]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hCCF05555")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[23]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hD1D1DD11")) ) @@ -28028,34 +26370,115 @@ (property INIT (string "32'hCCF0AAAA")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[7]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD1D1DD11")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_5[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h00AA11BB0A0A1B1B")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCF05555")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_6[16]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h010101ABAB01ABAB")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[21]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[28]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hA088")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hB0B08080B080B080")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[28]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h88DD8D8D88DD88DD")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[19]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h88DD8D8D88DD88DD")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_19 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[19]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[17]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[17]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h1B")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[10]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h11BB1B1B")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[10]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_2[5]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[5]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[14]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[14]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[13]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[13]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_0[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO_1[3]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBB88B8B8BB88BB88")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_RNO[3]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[29]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hA088")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[29]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hB0B08080B080B080")) + (property INIT (string "64'hCF00C000CA00CA00")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_29 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[29]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_RNO_0") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) - (property INIT (string "64'hFF24000000240000")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[28]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0A22")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_RNO_1") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[28]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hF030C000E020E020")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_28 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[28]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_2[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'h88888B8B888B888B")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_3[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hBB88B8B8")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h11BB1B1B")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_16 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[16]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[8]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hE2C0E2C0E2E2C0C0")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_RNO") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE4")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[8]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_0[24]") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hE2C0E2C0E2E2C0C0")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO_1[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_24 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNO[24]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_3") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hBAAEAAAEAAAAAAAA")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_4") (viewRef PRIM (cellRef LUT6 (libraryRef VIRTEXR))) + (property INIT (string "64'hAAEBAAABAAAAAAAA")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_i_RNO_2") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) (instance (rename cmp_tdc_clks_rsts_mgment_pll_byte_index_0 "cmp_tdc_clks_rsts_mgment.pll_byte_index[0]") (viewRef PRIM (cellRef FDS (libraryRef UNILIB))) ) (instance (rename cmp_tdc_clks_rsts_mgment_config_st_2 "cmp_tdc_clks_rsts_mgment.config_st[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) @@ -28067,12 +26490,18 @@ ) (instance (rename cmp_tdc_tdc_core_reg_control_block_Pulse_stretcher_counter_2 "cmp_tdc.tdc_core.reg_control_block.Pulse_stretcher.counter[2]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_is_zero_o") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o "gnum_interface_block.cmp_wbmaster32.wbm_arb_req_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_0 "gnum_interface_block.cmp_dma_controller.dma_status[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_1 "gnum_interface_block.cmp_dma_controller.dma_status[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_p2l_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o "gnum_interface_block.cmp_dma_controller.dma_ctrl_start_l2p_o") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_lw") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_lw") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -28091,6 +26520,18 @@ ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_lw") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[3]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + ) (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) (instance (rename cmp_dma_eic_rddata_reg_0 "cmp_dma_eic.rddata_reg[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) @@ -28111,10 +26552,6 @@ ) (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_7 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[7]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[15]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat[31]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA[0]") (viewRef PRIM (cellRef FDP (libraryRef UNILIB))) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA[1]") (viewRef PRIM (cellRef FDP (libraryRef UNILIB))) @@ -28145,19 +26582,9 @@ ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.sr[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[4]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[5]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[6]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr[7]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_0 "cmp_tdc.cmp_tdc_eic.rddata_reg[0]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_1 "cmp_tdc.cmp_tdc_eic.rddata_reg[1]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_cmp_tdc_eic_rddata_reg_2 "cmp_tdc.cmp_tdc_eic.rddata_reg[2]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer[13]") (viewRef PRIM (cellRef FDP (libraryRef UNILIB))) ) (instance (rename gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt "gnum_interface_block.cmp_l2p_arbiter.arb_ldm_gnt") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) @@ -28181,16 +26608,10 @@ ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_9 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt[9]") (viewRef PRIM (cellRef FDC (libraryRef UNILIB))) ) - (instance (rename cmp_vic_U_Wrapped_VIC_current_irq_0_0 "cmp_vic.U_Wrapped_VIC.current_irq_0[0]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_1 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[1]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_2 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0[2]") (viewRef PRIM (cellRef FD (libraryRef UNILIB))) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_6 "cmp_sdb_crossbar.crossbar.matrix_old[6]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) - ) (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_5 "cmp_sdb_crossbar.crossbar.matrix_old[5]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_2 "cmp_sdb_crossbar.crossbar.matrix_old[2]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) + ) (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_11 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg[11]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_10 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg[10]") (viewRef PRIM (cellRef FDR (libraryRef UNILIB))) @@ -28241,34 +26662,37 @@ ) (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_3 "cmp_vic.U_Wrapped_VIC.vic_var[3]") (viewRef PRIM (cellRef FDS (libraryRef UNILIB))) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_lut6_2[8]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000000010000")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_we_RNIIF1F1") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) + (property INIT (string "64'hFDFDFD55FCFCFC00")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2 "gnum_interface_block.cmp_l2p_dma_master.un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) - (property INIT (string "64'hFFFF800080008000")) + (instance (rename cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0 "cmp_tdc.tdc_core.circular_buffer_block.tstamp_rd_wb_st_ns_i_x2_lut6_2[0]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) + (property INIT (string "64'h9666666666666666")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) - (property INIT (string "64'h0000000010000000")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_RNI3V1N3[0]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFFFFFFF0F3F0E2")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_we_RNIIF1F1") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) - (property INIT (string "64'hEFEFEF0FEEEEEE00")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_RNIN2JM8_0[1]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000000010")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_wr_e_lut6_2") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) - (property INIT (string "64'hEEEEEEEE08080800")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_RNIN2JM8[1]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) + (property INIT (string "64'h0000000000200000")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNIVFM04[10]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) - (property INIT (string "64'h0203030303030303")) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI393I1_4 "cmp_vic.U_Wrapped_VIC.state_RNI393I1[4]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) + (property INIT (string "64'hFFFF01FFFFFF00FF")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNIJ4FB4[1]") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) (property INIT (string "64'hFFFFFFFFECCCCCCC")) ) + (instance (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_wr_e_lut6_2") (viewRef PRIM (cellRef LUT6_2 (libraryRef VIRTEXR))) + (property INIT (string "64'hEEEEEEEE08080008")) + ) + (instance pll_sdi_o_obuf_RNO_2 (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) (instance pll_sdi_o_obuf_RNO_3 (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance pll_sdi_o_obuf_RNO_4 (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance pll_sdi_o_obuf_RNO_1 (viewRef PRIM (cellRef MUXF8 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7mux_RNO[5]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7mux_RNO_0[5]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7mux[5]") (viewRef PRIM (cellRef MUXF8 (libraryRef VIRTEX))) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2_RNIS6J "gnum_interface_block.cmp_l2p_dma_master.un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2_RNIS6J") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) + (instance pll_sdi_o_obuf_RNO_0 (viewRef PRIM (cellRef MUXF8 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7mux_RNO[1]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7mux_RNO_0[1]") (viewRef PRIM (cellRef MUXF7 (libraryRef VIRTEX))) ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_7mux[1]") (viewRef PRIM (cellRef MUXF8 (libraryRef VIRTEX))) ) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNO_0_0 "cmp_vic.U_Wrapped_VIC.state_RNO_0[0]") (viewRef PRIM (cellRef INV (libraryRef UNILIB))) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIIR93_9 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg_RNIIR93[9]") (viewRef PRIM (cellRef LUT1 (libraryRef VIRTEX))) (property INIT (string "2'h1")) ) @@ -28283,4332 +26707,3940 @@ ) (instance GND (viewRef PRIM (cellRef GND (libraryRef UNILIB))) ) (instance VCC (viewRef PRIM (cellRef VCC (libraryRef UNILIB))) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_ns_1_0_.N_1650_i_lut6_2_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h313131F1")) - (property HLUTNM (string "top_tdc_lutnm000960")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_ns_1_0_.N_1650_i_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h666E")) - (property HLUTNM (string "top_tdc_lutnm000960")) - ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_bus_wen_pwr_sel_1_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hF8F0")) - (property HLUTNM (string "top_tdc_lutnm000961")) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o6 "gnum_interface_block.cmp_wbmaster32.cmp_fifo_to_wb.gen_fifo_64bit.cmp_fifo_64x512_RNIVP6R7_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h66E6")) + (property HLUTNM (string "top_tdc_lutnm001009")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_bus_wen_pwr_sel_1_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAE")) - (property HLUTNM (string "top_tdc_lutnm000961")) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o5 "gnum_interface_block.cmp_wbmaster32.cmp_fifo_to_wb.gen_fifo_64bit.cmp_fifo_64x512_RNIVP6R7_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0D0DCD0D")) + (property HLUTNM (string "top_tdc_lutnm001009")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNINBQU6_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNIF81H8_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h00800000")) - (property HLUTNM (string "top_tdc_lutnm000962")) + (property HLUTNM (string "top_tdc_lutnm001010")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_RNINBQU6_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00080000")) - (property HLUTNM (string "top_tdc_lutnm000962")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_RNIF81H8_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h08000000")) + (property HLUTNM (string "top_tdc_lutnm001010")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_reg_RNIHAC45_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNI2RKU9_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_reg_RNI2RKU9_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hEA")) - (property HLUTNM (string "top_tdc_lutnm000963")) + (property HLUTNM (string "top_tdc_lutnm001011")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_reg_RNIHAC45_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNI2RKU9_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_reg_RNI2RKU9_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h0A0C0C0C")) - (property HLUTNM (string "top_tdc_lutnm000963")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o18_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0010")) - (property HLUTNM (string "top_tdc_lutnm000964")) + (property HLUTNM (string "top_tdc_lutnm001011")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o18_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00100000")) - (property HLUTNM (string "top_tdc_lutnm000964")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o23_0_a2_i_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFD")) + (property HLUTNM (string "top_tdc_lutnm001012")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0080")) - (property HLUTNM (string "top_tdc_lutnm000965")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o23_0_a2_i_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00020000")) + (property HLUTNM (string "top_tdc_lutnm001012")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00100000")) - (property HLUTNM (string "top_tdc_lutnm000965")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o6_15 "cmp_tdc.tdc_core.reg_control_block.acam_config_6_RNI69AD1_o6[15]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h2400")) + (property HLUTNM (string "top_tdc_lutnm001013")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o26_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) - (property HLUTNM (string "top_tdc_lutnm000966")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o5_15 "cmp_tdc.tdc_core.reg_control_block.acam_config_6_RNI69AD1_o5[15]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0400")) + (property HLUTNM (string "top_tdc_lutnm001013")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o26_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1000")) - (property HLUTNM (string "top_tdc_lutnm000966")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_0_a2_i_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFD")) + (property HLUTNM (string "top_tdc_lutnm001014")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0080")) - (property HLUTNM (string "top_tdc_lutnm000967")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_0_a2_i_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000004")) + (property HLUTNM (string "top_tdc_lutnm001014")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20000000")) - (property HLUTNM (string "top_tdc_lutnm000967")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o6 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001015")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_engine_fsm_comb.un15_acam_ack_i_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) - (property HLUTNM (string "top_tdc_lutnm000968")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o5 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + (property HLUTNM (string "top_tdc_lutnm001015")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_engine_fsm_comb.un15_acam_ack_i_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) - (property HLUTNM (string "top_tdc_lutnm000968")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o6_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIBAJA1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h20")) + (property HLUTNM (string "top_tdc_lutnm001016")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o24_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o5_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIBAJA1_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h1000")) - (property HLUTNM (string "top_tdc_lutnm000969")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o24_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h10000000")) - (property HLUTNM (string "top_tdc_lutnm000969")) + (property HLUTNM (string "top_tdc_lutnm001016")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o6_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.data_cnt.dcnt_4_iv_i_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h0000FFC6")) - (property HLUTNM (string "top_tdc_lutnm000970")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNIH5CR_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0000FFA6")) + (property HLUTNM (string "top_tdc_lutnm001017")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.data_cnt.dcnt_4_iv_i_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNIH5CR_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h00F6")) - (property HLUTNM (string "top_tdc_lutnm000970")) - ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4 "cmp_vic.U_Wrapped_VIC.state_RNI12KE1_o6[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hA8FF")) - (property HLUTNM (string "top_tdc_lutnm000971")) - ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4 "cmp_vic.U_Wrapped_VIC.state_RNI12KE1_o5[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAAAEFFFF")) - (property HLUTNM (string "top_tdc_lutnm000971")) + (property HLUTNM (string "top_tdc_lutnm001017")) ) (instance (rename gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7 "gnum_interface_block.cmp_clk_in.state_RNIR6HH1_o6[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h00AE")) - (property HLUTNM (string "top_tdc_lutnm000972")) + (property HLUTNM (string "top_tdc_lutnm001018")) ) (instance (rename gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7 "gnum_interface_block.cmp_clk_in.state_RNIR6HH1_o5[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'h0000AEEE")) - (property HLUTNM (string "top_tdc_lutnm000972")) + (property HLUTNM (string "top_tdc_lutnm001018")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_o_RNIQN641_o6_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_o_RNIQN641_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h2")) - (property HLUTNM (string "top_tdc_lutnm000973")) + (property HLUTNM (string "top_tdc_lutnm001019")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_o_RNIQN641_o5_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_o_RNIQN641_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h3320")) - (property HLUTNM (string "top_tdc_lutnm000973")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o6_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_RNI7NON1_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFF80")) - (property HLUTNM (string "top_tdc_lutnm000974")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o5_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_RNI7NON1_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000974")) - ) - (instance swi_mask_1_sqmuxa_i_lut6_2_o6 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEAFF")) - (property HLUTNM (string "top_tdc_lutnm000975")) - ) - (instance swi_mask_1_sqmuxa_i_lut6_2_o5 (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hAE00")) - (property HLUTNM (string "top_tdc_lutnm000975")) + (property HLUTNM (string "top_tdc_lutnm001019")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_pulse_extender_en_c3_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.pulse_extender_en_c3_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h7F")) - (property HLUTNM (string "top_tdc_lutnm000976")) + (property HLUTNM (string "top_tdc_lutnm001020")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_pulse_extender_en_c3_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.pulse_extender_en_c3_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h00F8")) - (property HLUTNM (string "top_tdc_lutnm000976")) + (property HLUTNM (string "top_tdc_lutnm001020")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o6_0 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIOSOA1_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000977")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_o2_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFEE9")) + (property HLUTNM (string "top_tdc_lutnm001021")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o5_0 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIOSOA1_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000977")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o5_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_o2_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) + (property HLUTNM (string "top_tdc_lutnm001021")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_1_0_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h40")) + (property HLUTNM (string "top_tdc_lutnm001022")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_1_0_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001022")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o6 "gnum_interface_block.cmp_wbmaster32.cmp_fifo_to_wb.gen_fifo_64bit.cmp_fifo_64x512_RNICPH41_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) + (property HLUTNM (string "top_tdc_lutnm001023")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o6_1 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIU4PA1_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o5 "gnum_interface_block.cmp_wbmaster32.cmp_fifo_to_wb.gen_fifo_64bit.cmp_fifo_64x512_RNICPH41_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hC0CD")) + (property HLUTNM (string "top_tdc_lutnm001023")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o6_1 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIO4PA1_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000978")) + (property HLUTNM (string "top_tdc_lutnm001024")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o5_1 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIU4PA1_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o5_1 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIO4PA1_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000978")) + (property HLUTNM (string "top_tdc_lutnm001024")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o6_2 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI2DPA1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o6_2 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI0DPA1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000979")) + (property HLUTNM (string "top_tdc_lutnm001025")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o5_2 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI2DPA1_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o5_2 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI0DPA1_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000979")) + (property HLUTNM (string "top_tdc_lutnm001025")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o6_3 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI6LPA1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o6_3 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIKLMM_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000980")) + (property HLUTNM (string "top_tdc_lutnm001026")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o5_3 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI6LPA1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o5_3 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIKLMM_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000980")) + (property HLUTNM (string "top_tdc_lutnm001026")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o6_4 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIMTMM_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o6_4 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI6TPA1_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000981")) + (property HLUTNM (string "top_tdc_lutnm001027")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o5_4 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIMTMM_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o5_4 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI6TPA1_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000981")) + (property HLUTNM (string "top_tdc_lutnm001027")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o6_5 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIC5QA1_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o6_5 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIA5QA1_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000982")) + (property HLUTNM (string "top_tdc_lutnm001028")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o5_5 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIC5QA1_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o5_5 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIA5QA1_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000982")) + (property HLUTNM (string "top_tdc_lutnm001028")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o6_6 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIGDQA1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o6_6 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIEDQA1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000983")) + (property HLUTNM (string "top_tdc_lutnm001029")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o5_6 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIGDQA1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o5_6 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIEDQA1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000983")) + (property HLUTNM (string "top_tdc_lutnm001029")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o6_8 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNII5RA1_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o6_8 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIKTQA1_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000984")) + (property HLUTNM (string "top_tdc_lutnm001030")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o5_8 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNII5RA1_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o5_8 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIKTQA1_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000984")) + (property HLUTNM (string "top_tdc_lutnm001030")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o6_9 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIMDRA1_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o6_9 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIKDRA1_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000985")) + (property HLUTNM (string "top_tdc_lutnm001031")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o5_9 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIMDRA1_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o5_9 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIKDRA1_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000985")) + (property HLUTNM (string "top_tdc_lutnm001031")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSTU1_o6_10 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIOSTU1_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000986")) + (property HLUTNM (string "top_tdc_lutnm001032")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSTU1_o5_10 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIOSTU1_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000986")) + (property HLUTNM (string "top_tdc_lutnm001032")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o6_11 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIN2KE1_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) + (property HLUTNM (string "top_tdc_lutnm001033")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o5_11 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIN2KE1_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001033")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o6_11 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIP6KE1_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o6_13 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIT6KE1_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000987")) + (property HLUTNM (string "top_tdc_lutnm001034")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o5_11 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIP6KE1_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o5_13 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIT6KE1_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000987")) + (property HLUTNM (string "top_tdc_lutnm001034")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o6_14 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIT2KE1_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o6_14 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIU2KE1_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm000988")) + (property HLUTNM (string "top_tdc_lutnm001035")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o5_14 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIT2KE1_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o5_14 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIU2KE1_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000988")) + (property HLUTNM (string "top_tdc_lutnm001035")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o6_26 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIVC9M1_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) + (property HLUTNM (string "top_tdc_lutnm001036")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o5_26 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIVC9M1_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + (property HLUTNM (string "top_tdc_lutnm001036")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI8F901_o6_4 "cmp_vic.U_Wrapped_VIC.state_RNI8F901_o6[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o6_1 "cmp_vic.U_Wrapped_VIC.state_RNIVPJE1_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h02FF")) - (property HLUTNM (string "top_tdc_lutnm000989")) + (property HLUTNM (string "top_tdc_lutnm001037")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI8F901_o5_4 "cmp_vic.U_Wrapped_VIC.state_RNI8F901_o5[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hA800")) - (property HLUTNM (string "top_tdc_lutnm000989")) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o5_1 "cmp_vic.U_Wrapped_VIC.state_RNIVPJE1_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001037")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o6_29 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI6B3H1_o6[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001038")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o5_29 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI6B3H1_o5[29]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE020")) + (property HLUTNM (string "top_tdc_lutnm001038")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o6_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNIPC5K1_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000990")) + (property HLUTNM (string "top_tdc_lutnm001039")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o5_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNIPC5K1_o5[27]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE020")) - (property HLUTNM (string "top_tdc_lutnm000990")) + (property HLUTNM (string "top_tdc_lutnm001039")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o6_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNIH44K1_o6[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000991")) + (property HLUTNM (string "top_tdc_lutnm001040")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o5_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNIH44K1_o5[23]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE020")) - (property HLUTNM (string "top_tdc_lutnm000991")) + (property HLUTNM (string "top_tdc_lutnm001040")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_14_1_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o6_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI6F2H1_o6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000992")) + (property HLUTNM (string "top_tdc_lutnm001041")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_14_1_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o5_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI6F2H1_o5[22]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE020")) - (property HLUTNM (string "top_tdc_lutnm000992")) + (property HLUTNM (string "top_tdc_lutnm001041")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o6_21 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI4B2H1_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000993")) + (property HLUTNM (string "top_tdc_lutnm001042")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o5_21 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI4B2H1_o5[21]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE020")) - (property HLUTNM (string "top_tdc_lutnm000993")) + (property HLUTNM (string "top_tdc_lutnm001042")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o6_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI072H1_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000994")) + (property HLUTNM (string "top_tdc_lutnm001043")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o5_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI072H1_o5[20]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hE020")) - (property HLUTNM (string "top_tdc_lutnm000994")) + (property HLUTNM (string "top_tdc_lutnm001043")) ) - (instance (rename gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o6 "gnum_interface_block.p_dma_wb_mux.un1_p2l_dma_cyc_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm000995")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o6_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI7B3H1_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001044")) ) - (instance (rename gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o5 "gnum_interface_block.p_dma_wb_mux.un1_p2l_dma_cyc_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hF4FC")) - (property HLUTNM (string "top_tdc_lutnm000995")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o5_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_RNI7B3H1_o5[19]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE020")) + (property HLUTNM (string "top_tdc_lutnm001044")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_s2_0_a3_0_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) - (property HLUTNM (string "top_tdc_lutnm000996")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_0_2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001045")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_s2_0_a3_0_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCC0D")) - (property HLUTNM (string "top_tdc_lutnm000996")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_0_2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hC505")) + (property HLUTNM (string "top_tdc_lutnm001045")) ) (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o6_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIC3AS_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h7B")) - (property HLUTNM (string "top_tdc_lutnm000997")) + (property HLUTNM (string "top_tdc_lutnm001046")) ) (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIC3AS_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h9FCF")) - (property HLUTNM (string "top_tdc_lutnm000997")) + (property HLUTNM (string "top_tdc_lutnm001046")) ) (instance (rename gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6 "gnum_interface_block.cmp_clk_in.iodelay_m_RNIH96R1_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h00E0")) - (property HLUTNM (string "top_tdc_lutnm000998")) + (property HLUTNM (string "top_tdc_lutnm001047")) ) (instance (rename gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o5 "gnum_interface_block.cmp_clk_in.iodelay_m_RNIH96R1_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm000998")) + (property HLUTNM (string "top_tdc_lutnm001047")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNI2JCN_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNI2JCN_0_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h31")) - (property HLUTNM (string "top_tdc_lutnm000999")) + (property HLUTNM (string "top_tdc_lutnm001048")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNI2JCN_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNI2JCN_0_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm000999")) + (property HLUTNM (string "top_tdc_lutnm001048")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIHJIS_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001000")) + (property HLUTNM (string "top_tdc_lutnm001049")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIHJIS_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001000")) + (property HLUTNM (string "top_tdc_lutnm001049")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIKJIS_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001001")) + (property HLUTNM (string "top_tdc_lutnm001050")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIKJIS_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001001")) + (property HLUTNM (string "top_tdc_lutnm001050")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIMJIS_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001002")) + (property HLUTNM (string "top_tdc_lutnm001051")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIMJIS_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001002")) + (property HLUTNM (string "top_tdc_lutnm001051")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001003")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o6_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNILC681_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001052")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o5_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNILC681_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001003")) + (property HLUTNM (string "top_tdc_lutnm001052")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o6_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIV6N01_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o6_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIG7921_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001004")) + (property HLUTNM (string "top_tdc_lutnm001053")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o5_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIV6N01_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o5_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIG7921_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001004")) + (property HLUTNM (string "top_tdc_lutnm001053")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hBA")) - (property HLUTNM (string "top_tdc_lutnm001005")) + (property HLUTNM (string "top_tdc_lutnm001054")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001005")) + (property HLUTNM (string "top_tdc_lutnm001054")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNICMBJ_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001006")) + (property HLUTNM (string "top_tdc_lutnm001055")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNICMBJ_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001006")) + (property HLUTNM (string "top_tdc_lutnm001055")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIPFIS_0_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001007")) + (property HLUTNM (string "top_tdc_lutnm001056")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIPFIS_0_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001007")) + (property HLUTNM (string "top_tdc_lutnm001056")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNI29P51_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001008")) + (property HLUTNM (string "top_tdc_lutnm001057")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNI29P51_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001008")) + (property HLUTNM (string "top_tdc_lutnm001057")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNI89P51_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001009")) + (property HLUTNM (string "top_tdc_lutnm001058")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNI89P51_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001009")) + (property HLUTNM (string "top_tdc_lutnm001058")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIA9P51_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001010")) + (property HLUTNM (string "top_tdc_lutnm001059")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIA9P51_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001010")) + (property HLUTNM (string "top_tdc_lutnm001059")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIG9P51_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001011")) + (property HLUTNM (string "top_tdc_lutnm001060")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIG9P51_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001011")) + (property HLUTNM (string "top_tdc_lutnm001060")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIPFIS_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001012")) + (property HLUTNM (string "top_tdc_lutnm001061")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet_RNIPFIS_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001012")) + (property HLUTNM (string "top_tdc_lutnm001061")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNIS7VQ_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNI6K2V_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) - (property HLUTNM (string "top_tdc_lutnm001013")) + (property HLUTNM (string "top_tdc_lutnm001062")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNIS7VQ_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001013")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift_RNI6K2V_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h07")) + (property HLUTNM (string "top_tdc_lutnm001062")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o6_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop1.irq_pending_14_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hF4")) - (property HLUTNM (string "top_tdc_lutnm001014")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIPLEJ1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001063")) ) - (instance (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o5_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop1.irq_pending_14_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) - (property HLUTNM (string "top_tdc_lutnm001014")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIPLEJ1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001063")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o6_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIK4ED1_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIL7HM1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001015")) + (property HLUTNM (string "top_tdc_lutnm001064")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o5_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIK4ED1_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) - (property HLUTNM (string "top_tdc_lutnm001015")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIL7HM1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001064")) ) - (instance (rename gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0 "gnum_interface_block.cmp_clk_in.state_ns_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFA2")) - (property HLUTNM (string "top_tdc_lutnm001016")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNISLEJ1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001065")) ) - (instance (rename gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0 "gnum_interface_block.cmp_clk_in.state_ns_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - (property HLUTNM (string "top_tdc_lutnm001016")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNISLEJ1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001065")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.p_addr_cnt.un91_p2l_dma_current_state_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0040")) - (property HLUTNM (string "top_tdc_lutnm001017")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIGVGM1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001066")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIGVGM1_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001066")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o6 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_o_RNIVPA81_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hF4")) + (property HLUTNM (string "top_tdc_lutnm001067")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o5 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_o_RNIVPA81_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + (property HLUTNM (string "top_tdc_lutnm001067")) + ) + (instance (rename gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0 "gnum_interface_block.cmp_clk_in.state_ns_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFA2")) + (property HLUTNM (string "top_tdc_lutnm001068")) + ) + (instance (rename gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0 "gnum_interface_block.cmp_clk_in.state_ns_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001068")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.p_addr_cnt.un91_p2l_dma_current_state_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0040")) + (property HLUTNM (string "top_tdc_lutnm001069")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.p_addr_cnt.un91_p2l_dma_current_state_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hFF400040")) - (property HLUTNM (string "top_tdc_lutnm001017")) + (property HLUTNM (string "top_tdc_lutnm001069")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hA800")) - (property HLUTNM (string "top_tdc_lutnm001018")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_1_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0400")) + (property HLUTNM (string "top_tdc_lutnm001070")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h2322")) - (property HLUTNM (string "top_tdc_lutnm001018")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_1_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0400")) + (property HLUTNM (string "top_tdc_lutnm001070")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o6_4 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIT66C1_o6[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) - (property HLUTNM (string "top_tdc_lutnm001019")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0400")) + (property HLUTNM (string "top_tdc_lutnm001071")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o5_4 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIT66C1_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0400")) + (property HLUTNM (string "top_tdc_lutnm001071")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNIUUIR1_o6[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h5515")) + (property HLUTNM (string "top_tdc_lutnm001072")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNIUUIR1_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) - (property HLUTNM (string "top_tdc_lutnm001019")) + (property HLUTNM (string "top_tdc_lutnm001072")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o6_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNIORL71_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0040")) - (property HLUTNM (string "top_tdc_lutnm001020")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI3PD71_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001073")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o5_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNIORL71_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001020")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI3PD71_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001073")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSCL_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFE8")) - (property HLUTNM (string "top_tdc_lutnm001021")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.detect_sta_sto.sta_condition_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0020")) + (property HLUTNM (string "top_tdc_lutnm001074")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSCL_2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFCAA")) - (property HLUTNM (string "top_tdc_lutnm001021")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.detect_sta_sto.sta_condition_2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0040")) + (property HLUTNM (string "top_tdc_lutnm001074")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSDA_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFE8")) - (property HLUTNM (string "top_tdc_lutnm001022")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_4_sqmuxa_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + (property HLUTNM (string "top_tdc_lutnm001075")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSDA_2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFCAA")) - (property HLUTNM (string "top_tdc_lutnm001022")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_4_sqmuxa_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + (property HLUTNM (string "top_tdc_lutnm001075")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_dat_2_lut6_2_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00800020")) - (property HLUTNM (string "top_tdc_lutnm001023")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSDA_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFE8")) + (property HLUTNM (string "top_tdc_lutnm001076")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_dat_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) - (property HLUTNM (string "top_tdc_lutnm001023")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSDA_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + (property HLUTNM (string "top_tdc_lutnm001076")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o6 "gnum_interface_block.cmp_l2p_dma_master.l2p_edb_o_RNI6KIF1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001024")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSCL_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFE8")) + (property HLUTNM (string "top_tdc_lutnm001077")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o5 "gnum_interface_block.cmp_l2p_dma_master.l2p_edb_o_RNI6KIF1_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hE0EA")) - (property HLUTNM (string "top_tdc_lutnm001024")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSCL_2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFCAA")) + (property HLUTNM (string "top_tdc_lutnm001077")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o6_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h4")) - (property HLUTNM (string "top_tdc_lutnm001025")) + (property HLUTNM (string "top_tdc_lutnm001078")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o5_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h0C0A")) - (property HLUTNM (string "top_tdc_lutnm001025")) + (property HLUTNM (string "top_tdc_lutnm001078")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o6_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_m2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1F0E")) - (property HLUTNM (string "top_tdc_lutnm001026")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o6_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_a2_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h5300")) + (property HLUTNM (string "top_tdc_lutnm001079")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o5_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_m2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hD")) - (property HLUTNM (string "top_tdc_lutnm001026")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o5_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_a2_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001079")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIGA462_o6_0 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNIGA462_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) - (property HLUTNM (string "top_tdc_lutnm001027")) + (property HLUTNM (string "top_tdc_lutnm001080")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIGA462_o5_0 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNIGA462_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAE")) - (property HLUTNM (string "top_tdc_lutnm001027")) + (property HLUTNM (string "top_tdc_lutnm001080")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0PHI1_o6_3 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNI0PHI1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hFE")) - (property HLUTNM (string "top_tdc_lutnm001028")) + (property HLUTNM (string "top_tdc_lutnm001081")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0PHI1_o5_3 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state_RNI0PHI1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAE")) - (property HLUTNM (string "top_tdc_lutnm001028")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001029")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001029")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_0_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001030")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_0_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001030")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001031")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_1_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001031")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001032")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001032")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_3_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001033")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_3_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001033")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_4_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001034")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_4_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001034")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_5_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001035")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_5_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001035")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_6_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001036")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_6_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001036")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_7_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001037")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNIOF9V_7_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001037")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_0_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001038")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_0_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hEC")) - (property HLUTNM (string "top_tdc_lutnm001038")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001039")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_1_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hEC")) - (property HLUTNM (string "top_tdc_lutnm001039")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001040")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hEC")) - (property HLUTNM (string "top_tdc_lutnm001040")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o6_0 "gnum_interface_block.cmp_wbmaster32.p2l_cid_RNIGIOE1_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001041")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o5_0 "gnum_interface_block.cmp_wbmaster32.p2l_cid_RNIGIOE1_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEAC0")) - (property HLUTNM (string "top_tdc_lutnm001041")) + (property HLUTNM (string "top_tdc_lutnm001081")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o6_1 "gnum_interface_block.cmp_wbmaster32.p2l_cid_RNIHMOE1_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001042")) + (instance (rename gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o6_0 "gnum_interface_block.cmp_wbmaster32.p2l_cid_RNIT7Q61_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hD")) + (property HLUTNM (string "top_tdc_lutnm001082")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o5_1 "gnum_interface_block.cmp_wbmaster32.p2l_cid_RNIHMOE1_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o5_0 "gnum_interface_block.cmp_wbmaster32.p2l_cid_RNIT7Q61_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hEAC0")) - (property HLUTNM (string "top_tdc_lutnm001042")) + (property HLUTNM (string "top_tdc_lutnm001082")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNI2JCN_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001043")) + (property HLUTNM (string "top_tdc_lutnm001083")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNILTAV_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5 "gnum_interface_block.cmp_wbmaster32.cmp_from_wb_fifo.gen_fifo_32bit.cmp_fifo_32x512_RNI2JCN_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hEC")) - (property HLUTNM (string "top_tdc_lutnm001043")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o6_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state_RNI44VJ_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hD")) - (property HLUTNM (string "top_tdc_lutnm001044")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o5_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state_RNI44VJ_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFD")) - (property HLUTNM (string "top_tdc_lutnm001044")) - ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o6 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_0_a5_2_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h40")) - (property HLUTNM (string "top_tdc_lutnm001045")) - ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o5 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_0_a5_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001045")) + (property HLUTNM (string "top_tdc_lutnm001083")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o6_1 "gnum_interface_block.cmp_dma_controller.dma_status_RNIKK1E6_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o6_1 "gnum_interface_block.cmp_dma_controller.dma_status_RNILO1E6_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hB")) - (property HLUTNM (string "top_tdc_lutnm001046")) + (property HLUTNM (string "top_tdc_lutnm001084")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o5_1 "gnum_interface_block.cmp_dma_controller.dma_status_RNIKK1E6_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o5_1 "gnum_interface_block.cmp_dma_controller.dma_status_RNILO1E6_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFCAA")) - (property HLUTNM (string "top_tdc_lutnm001046")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o6_7 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI2GE31_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hB")) - (property HLUTNM (string "top_tdc_lutnm001047")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o5_7 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI2GE31_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001047")) + (property HLUTNM (string "top_tdc_lutnm001084")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIR8LD1_o6_4 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIR8LD1_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001048")) + (property HLUTNM (string "top_tdc_lutnm001085")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIR8LD1_o5_4 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIR8LD1_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hB")) - (property HLUTNM (string "top_tdc_lutnm001048")) + (property HLUTNM (string "top_tdc_lutnm001085")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o6_20 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNINS9M1_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o6_22 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIRS9M1_o6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001049")) + (property HLUTNM (string "top_tdc_lutnm001086")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o5_20 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNINS9M1_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o5_22 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNIRS9M1_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001049")) + (property HLUTNM (string "top_tdc_lutnm001086")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI09AM1_o6_31 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI09AM1_o6[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001050")) + (property HLUTNM (string "top_tdc_lutnm001087")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI09AM1_o5_31 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI09AM1_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001050")) + (property HLUTNM (string "top_tdc_lutnm001087")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o6_12 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIVGIN1_o6[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o6_26 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIDPIN1_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001051")) + (property HLUTNM (string "top_tdc_lutnm001088")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o5_12 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIVGIN1_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o5_26 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIDPIN1_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001051")) + (property HLUTNM (string "top_tdc_lutnm001088")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o6_15 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI6LIN1_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o6_7 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI88F31_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001052")) + (property HLUTNM (string "top_tdc_lutnm001089")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o5_15 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI6LIN1_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o5_7 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI88F31_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001052")) + (property HLUTNM (string "top_tdc_lutnm001089")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o6_28 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI67KE1_o6[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o6_16 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI4JSF1_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001053")) + (property HLUTNM (string "top_tdc_lutnm001090")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o5_28 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI67KE1_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o5_16 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI4JSF1_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001053")) + (property HLUTNM (string "top_tdc_lutnm001090")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o6_0 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNINDNR_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o6_21 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI1RSF1_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001054")) + (property HLUTNM (string "top_tdc_lutnm001091")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o5_0 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNINDNR_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o5_21 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI1RSF1_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001054")) + (property HLUTNM (string "top_tdc_lutnm001091")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o6_18 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIAJSF1_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o6_28 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI87KE1_o6[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001055")) + (property HLUTNM (string "top_tdc_lutnm001092")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o5_18 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIAJSF1_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o5_28 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_RNI87KE1_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001055")) + (property HLUTNM (string "top_tdc_lutnm001092")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o6_23 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI7RSF1_o6[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o6_0 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIPDNR_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001056")) + (property HLUTNM (string "top_tdc_lutnm001093")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o5_23 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNI7RSF1_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o5_0 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIPDNR_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) - (property HLUTNM (string "top_tdc_lutnm001056")) + (property HLUTNM (string "top_tdc_lutnm001093")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_14 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI6HAU_o6[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o6_31 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIA3TF1_o6[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001057")) + (property HLUTNM (string "top_tdc_lutnm001094")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_14 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI6HAU_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001057")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o5_31 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_RNIA3TF1_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) + (property HLUTNM (string "top_tdc_lutnm001094")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_15 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_15 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI7HAU_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001058")) + (property HLUTNM (string "top_tdc_lutnm001095")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_15 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_15 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI7HAU_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001058")) + (property HLUTNM (string "top_tdc_lutnm001095")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_16 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_16 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001059")) + (property HLUTNM (string "top_tdc_lutnm001096")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_16 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_16 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001059")) + (property HLUTNM (string "top_tdc_lutnm001096")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_17 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_17 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001060")) + (property HLUTNM (string "top_tdc_lutnm001097")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_17 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_17 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001060")) + (property HLUTNM (string "top_tdc_lutnm001097")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_18 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_18 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001061")) + (property HLUTNM (string "top_tdc_lutnm001098")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_18 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o5[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_18 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o5[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001061")) + (property HLUTNM (string "top_tdc_lutnm001098")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_19 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_19 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001062")) + (property HLUTNM (string "top_tdc_lutnm001099")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_19 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIDDAU_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_19 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNIEDAU_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001062")) + (property HLUTNM (string "top_tdc_lutnm001099")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_20 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI6HAU_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_20 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI7HAU_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001063")) + (property HLUTNM (string "top_tdc_lutnm001100")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_20 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI6HAU_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_20 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI7HAU_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001063")) + (property HLUTNM (string "top_tdc_lutnm001100")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_21 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI6HAU_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_21 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI7HAU_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001064")) + (property HLUTNM (string "top_tdc_lutnm001101")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_21 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI6HAU_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_21 "gnum_interface_block.cmp_dma_controller.dma_len_reg_RNI7HAU_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001064")) + (property HLUTNM (string "top_tdc_lutnm001101")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_1_sqmuxa_i_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_1_sqmuxa_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001065")) + (property HLUTNM (string "top_tdc_lutnm001102")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nextl_reg_1_sqmuxa_i_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg_1_sqmuxa_i_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) - (property HLUTNM (string "top_tdc_lutnm001065")) + (property HLUTNM (string "top_tdc_lutnm001102")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_1_sqmuxa_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001066")) + (property HLUTNM (string "top_tdc_lutnm001103")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg_1_sqmuxa_i_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) - (property HLUTNM (string "top_tdc_lutnm001066")) + (property HLUTNM (string "top_tdc_lutnm001103")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_len_reg_1_sqmuxa_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001067")) + (property HLUTNM (string "top_tdc_lutnm001104")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_len_reg_1_sqmuxa_i_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) - (property HLUTNM (string "top_tdc_lutnm001067")) + (property HLUTNM (string "top_tdc_lutnm001104")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg_1_sqmuxa_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001068")) + (property HLUTNM (string "top_tdc_lutnm001105")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) - (property HLUTNM (string "top_tdc_lutnm001068")) + (property HLUTNM (string "top_tdc_lutnm001105")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg_1_sqmuxa_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001069")) + (property HLUTNM (string "top_tdc_lutnm001106")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) - (property HLUTNM (string "top_tdc_lutnm001069")) + (property HLUTNM (string "top_tdc_lutnm001106")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_cstart_reg_1_sqmuxa_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001070")) + (property HLUTNM (string "top_tdc_lutnm001107")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_cstart_reg_1_sqmuxa_i_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) - (property HLUTNM (string "top_tdc_lutnm001070")) + (property HLUTNM (string "top_tdc_lutnm001107")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_attrib_reg_1_sqmuxa_i_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_attrib_reg_1_sqmuxa_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001071")) + (property HLUTNM (string "top_tdc_lutnm001108")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_attrib_reg_1_sqmuxa_i_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_attrib_reg_1_sqmuxa_i_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) - (property HLUTNM (string "top_tdc_lutnm001071")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o6_7 "cmp_sdb_crossbar.crossbar.matrix_old_RNI8P7L_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001072")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o5_7 "cmp_sdb_crossbar.crossbar.matrix_old_RNI8P7L_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001072")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o6_7 "cmp_sdb_crossbar.crossbar.matrix_old_RNITNDM_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001073")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o5_7 "cmp_sdb_crossbar.crossbar.matrix_old_RNITNDM_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001073")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o6_7 "cmp_sdb_crossbar.crossbar.matrix_old_RNIA50J1_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001074")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o5_7 "cmp_sdb_crossbar.crossbar.matrix_old_RNIA50J1_o5[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hBFFF")) - (property HLUTNM (string "top_tdc_lutnm001074")) + (property HLUTNM (string "top_tdc_lutnm001108")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001075")) + (property HLUTNM (string "top_tdc_lutnm001109")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001075")) + (property HLUTNM (string "top_tdc_lutnm001109")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001076")) + (property HLUTNM (string "top_tdc_lutnm001110")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001076")) + (property HLUTNM (string "top_tdc_lutnm001110")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001077")) + (property HLUTNM (string "top_tdc_lutnm001111")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001077")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001078")) - ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001078")) + (property HLUTNM (string "top_tdc_lutnm001111")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstarth_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001079")) + (property HLUTNM (string "top_tdc_lutnm001112")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstarth_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001079")) + (property HLUTNM (string "top_tdc_lutnm001112")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001080")) + (property HLUTNM (string "top_tdc_lutnm001113")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001080")) + (property HLUTNM (string "top_tdc_lutnm001113")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001081")) + (property HLUTNM (string "top_tdc_lutnm001114")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001081")) + (property HLUTNM (string "top_tdc_lutnm001114")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_read_0_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_int_read_0_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h20")) - (property HLUTNM (string "top_tdc_lutnm001082")) + (property HLUTNM (string "top_tdc_lutnm001115")) ) (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_read_0_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_int_read_0_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001082")) + (property HLUTNM (string "top_tdc_lutnm001115")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_valid_RNI7I9L1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) + (property HLUTNM (string "top_tdc_lutnm001116")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_valid_RNI7I9L1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hEFCFEECC")) + (property HLUTNM (string "top_tdc_lutnm001116")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6 "gnum_interface_block.cmp_p2l_dma_master.l2p_64b_address_RNIT99C1_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h1011")) + (property HLUTNM (string "top_tdc_lutnm001117")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o5 "gnum_interface_block.cmp_p2l_dma_master.l2p_64b_address_RNIT99C1_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001117")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_13 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_11 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001083")) + (property HLUTNM (string "top_tdc_lutnm001118")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_13 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_11 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001083")) + (property HLUTNM (string "top_tdc_lutnm001118")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_18 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_14 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001084")) + (property HLUTNM (string "top_tdc_lutnm001119")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_14 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001084")) + (property HLUTNM (string "top_tdc_lutnm001119")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_20 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001085")) + (property HLUTNM (string "top_tdc_lutnm001120")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_20 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001085")) + (property HLUTNM (string "top_tdc_lutnm001120")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_25 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_18 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001086")) + (property HLUTNM (string "top_tdc_lutnm001121")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001086")) + (property HLUTNM (string "top_tdc_lutnm001121")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_28 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_19 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001087")) + (property HLUTNM (string "top_tdc_lutnm001122")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_28 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_19 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001087")) + (property HLUTNM (string "top_tdc_lutnm001122")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o6_4 "cmp_sdb_crossbar.crossbar.matrix_old_RNIJQ9O_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001088")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h70")) + (property HLUTNM (string "top_tdc_lutnm001123")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o5_4 "cmp_sdb_crossbar.crossbar.matrix_old_RNIJQ9O_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001088")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h70")) + (property HLUTNM (string "top_tdc_lutnm001123")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o6_4 "cmp_sdb_crossbar.crossbar.matrix_old_RNIS3BR_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001089")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_25 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h70")) + (property HLUTNM (string "top_tdc_lutnm001124")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o5_4 "cmp_sdb_crossbar.crossbar.matrix_old_RNIS3BR_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001089")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h70")) + (property HLUTNM (string "top_tdc_lutnm001124")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o6_6 "cmp_sdb_crossbar.crossbar.matrix_old_RNI6ITD_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001090")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_27 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h70")) + (property HLUTNM (string "top_tdc_lutnm001125")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o5_6 "cmp_sdb_crossbar.crossbar.matrix_old_RNI6ITD_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001090")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_27 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h70")) + (property HLUTNM (string "top_tdc_lutnm001125")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_30_1_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001091")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o6 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_o_RNIPSVD_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + (property HLUTNM (string "top_tdc_lutnm001126")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_30_1_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001091")) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o5 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_o_RNIPSVD_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + (property HLUTNM (string "top_tdc_lutnm001126")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o6_6 "cmp_sdb_crossbar.crossbar.matrix_old_RNI6CCR_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o6 "cmp_tdc.tdc_core.TDCboard_leds.N_247_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001092")) + (property HLUTNM (string "top_tdc_lutnm001127")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o5_6 "cmp_sdb_crossbar.crossbar.matrix_old_RNI6CCR_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o5 "cmp_tdc.tdc_core.TDCboard_leds.N_247_i_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001092")) + (property HLUTNM (string "top_tdc_lutnm001127")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_509_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h07")) - (property HLUTNM (string "top_tdc_lutnm001093")) + (instance (rename gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6 "gnum_interface_block.cmp_clk_in.state_3_sqmuxa_0_a4_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h02")) + (property HLUTNM (string "top_tdc_lutnm001128")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_509_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001093")) + (instance (rename gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o5 "gnum_interface_block.cmp_clk_in.state_3_sqmuxa_0_a4_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) + (property HLUTNM (string "top_tdc_lutnm001128")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o6_10 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg_RNISSRA_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001094")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_11 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_iv_0_0_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hEAC0")) + (property HLUTNM (string "top_tdc_lutnm001129")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o5_10 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg_RNISSRA_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001094")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_11 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_iv_0_0_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hEC")) + (property HLUTNM (string "top_tdc_lutnm001129")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o6_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNI6P7L_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001095")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_26 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_iv_0_0_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hEC")) + (property HLUTNM (string "top_tdc_lutnm001130")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o5_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNI6P7L_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_26 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_iv_0_0_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001095")) + (property HLUTNM (string "top_tdc_lutnm001130")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o6_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_29_i_o2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) - (property HLUTNM (string "top_tdc_lutnm001096")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hF4")) + (property HLUTNM (string "top_tdc_lutnm001131")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o5_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_29_i_o2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001096")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + (property HLUTNM (string "top_tdc_lutnm001131")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o6_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNIVBBR_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001097")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0537")) + (property HLUTNM (string "top_tdc_lutnm001132")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o5_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNIVBBR_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) - (property HLUTNM (string "top_tdc_lutnm001097")) + (property HLUTNM (string "top_tdc_lutnm001132")) ) - (instance (rename gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6 "gnum_interface_block.cmp_clk_in.state_3_sqmuxa_0_a4_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h02")) - (property HLUTNM (string "top_tdc_lutnm001098")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o6_0 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_RNIUG411_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001133")) ) - (instance (rename gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o5 "gnum_interface_block.cmp_clk_in.state_3_sqmuxa_0_a4_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) - (property HLUTNM (string "top_tdc_lutnm001098")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o5_0 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_RNIUG411_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hA303")) + (property HLUTNM (string "top_tdc_lutnm001133")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h04")) - (property HLUTNM (string "top_tdc_lutnm001099")) + (property HLUTNM (string "top_tdc_lutnm001134")) ) (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001099")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o6 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_0_s_14_RNI43NL1_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) - (property HLUTNM (string "top_tdc_lutnm001100")) + (property HLUTNM (string "top_tdc_lutnm001134")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o5 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_0_s_14_RNI43NL1_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_22 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001100")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001101")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001101")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o6 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_0_s_7_RNI2GPE1_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) - (property HLUTNM (string "top_tdc_lutnm001102")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o5 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_0_s_7_RNI2GPE1_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001102")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001103")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001103")) - ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o6 "gnum_interface_block.cmp_p2l_decode32.p2l_packet_start_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h40")) - (property HLUTNM (string "top_tdc_lutnm001104")) - ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o5 "gnum_interface_block.cmp_p2l_decode32.p2l_packet_start_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) - (property HLUTNM (string "top_tdc_lutnm001104")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_12 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001105")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_12 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h70")) - (property HLUTNM (string "top_tdc_lutnm001105")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6 "gnum_interface_block.cmp_p2l_dma_master.l2p_64b_address_RNIT99C1_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1011")) - (property HLUTNM (string "top_tdc_lutnm001106")) - ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o5 "gnum_interface_block.cmp_p2l_dma_master.l2p_64b_address_RNIT99C1_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001106")) - ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o6 "gnum_interface_block.cmp_l2p_arbiter.wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h02")) - (property HLUTNM (string "top_tdc_lutnm001107")) - ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o5 "gnum_interface_block.cmp_l2p_arbiter.wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h40")) - (property HLUTNM (string "top_tdc_lutnm001107")) - ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o6 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_0_a5_1_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h02")) - (property HLUTNM (string "top_tdc_lutnm001108")) - ) - (instance (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o5 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_0_a5_1_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h40")) - (property HLUTNM (string "top_tdc_lutnm001108")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0537")) - (property HLUTNM (string "top_tdc_lutnm001109")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) - (property HLUTNM (string "top_tdc_lutnm001109")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hF4")) - (property HLUTNM (string "top_tdc_lutnm001110")) - ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hB")) - (property HLUTNM (string "top_tdc_lutnm001110")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o6_4 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_i_o3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hDF")) - (property HLUTNM (string "top_tdc_lutnm001111")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o5_4 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_i_o3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0100")) - (property HLUTNM (string "top_tdc_lutnm001111")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o6_5 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_i_o2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hEF")) - (property HLUTNM (string "top_tdc_lutnm001112")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o5_5 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_i_o2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h01")) - (property HLUTNM (string "top_tdc_lutnm001112")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o6_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_0_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h10")) - (property HLUTNM (string "top_tdc_lutnm001113")) - ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o5_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_0_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) - (property HLUTNM (string "top_tdc_lutnm001113")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001114")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001114")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001115")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001115")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_29_1_i_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h7F")) - (property HLUTNM (string "top_tdc_lutnm001116")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_29_1_i_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001116")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o6_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI9FGM1_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001117")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o5_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI9FGM1_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001117")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001118")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001118")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001119")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001119")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001120")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001120")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001121")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001121")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001122")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001122")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001123")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001123")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001124")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001124")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_19_1_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001125")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_19_1_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001125")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.shift_4_iv_i_o2_0_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) - (property HLUTNM (string "top_tdc_lutnm001126")) - ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.shift_4_iv_i_o2_0_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFE00FF00")) - (property HLUTNM (string "top_tdc_lutnm001126")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o6_8 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIEU3M1_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001127")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o5_8 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIEU3M1_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001127")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o6_19 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIGBHM1_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001128")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o5_19 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIGBHM1_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001128")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_24_1_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001129")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_24_1_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001129")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o6_3 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIOLEJ1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001130")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o5_3 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIOLEJ1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001130")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001131")) - ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001131")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o6_6 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIRLEJ1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001132")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o5_6 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIRLEJ1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001132")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o6_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNIVC551_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001133")) - ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o5_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNIVC551_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) - (property HLUTNM (string "top_tdc_lutnm001133")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o6_4 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI1U3M1_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001134")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o5_4 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI1U3M1_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001134")) - ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o6_3 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI8U3M1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001135")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o5_3 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI8U3M1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_22 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h70")) (property HLUTNM (string "top_tdc_lutnm001135")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o6_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIPN5P1_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read_1_sqmuxa_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h10")) (property HLUTNM (string "top_tdc_lutnm001136")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o5_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIPN5P1_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read_1_sqmuxa_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h20")) (property HLUTNM (string "top_tdc_lutnm001136")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o6_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIRF5P1_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o6 "gnum_interface_block.cmp_p2l_decode32.p2l_packet_start_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h40")) (property HLUTNM (string "top_tdc_lutnm001137")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o5_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIRF5P1_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o5 "gnum_interface_block.cmp_p2l_decode32.p2l_packet_start_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001137")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o6_7 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI8LDE1_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0_1_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h08")) (property HLUTNM (string "top_tdc_lutnm001138")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o5_7 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI8LDE1_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0_1_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001138")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_4_1_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o6_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_1_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h04")) (property HLUTNM (string "top_tdc_lutnm001139")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_4_1_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o5_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_1_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h20")) (property HLUTNM (string "top_tdc_lutnm001139")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.vector_or.un188_tmp_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE0")) (property HLUTNM (string "top_tdc_lutnm001140")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.vector_or.un188_tmp_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) (property HLUTNM (string "top_tdc_lutnm001140")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_27_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001141")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_27_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) (property HLUTNM (string "top_tdc_lutnm001141")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_27_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001142")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_27_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001142")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o6_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_25_1_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_27_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001143")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o5_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_25_1_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_27_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001143")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNII4G21_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001144")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNII4G21_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001144")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001145")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001145")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_13_1_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001146")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_13_1_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001146")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o6_1 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIPN0O1_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001147")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o5_1 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIPN0O1_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h7F")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001147")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o6_22 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_10_1_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001148")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o5_22 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_10_1_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0800")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001148")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001149")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h08")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001149")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_14_1_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001150")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_14_1_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001150")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_29_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001151")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_29_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001151")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001152")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001152")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o6_7 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIULEJ1_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_24_1_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001153")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o5_7 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIULEJ1_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_24_1_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001153")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o6 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_o_RNIVPA81_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hF4")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI0MEJ1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001154")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o5 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_o_RNIVPA81_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI0MEJ1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001154")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI0MEJ1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001155")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI0MEJ1_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001155")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_8_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI1MEJ1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001156")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_8_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI1MEJ1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001156")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFEFA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI5H281_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001157")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI5H281_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001157")) ) - (instance (rename gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1 "gnum_interface_block.cmp_clk_in.state_ns_a4_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0008")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001158")) ) - (instance (rename gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o5_1 "gnum_interface_block.cmp_clk_in.state_ns_a4_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001158")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_rd_1_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h01")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001159")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_rd_1_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h08")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001159")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o6_3 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_1_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001160")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o5_3 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_1_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001160")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un5_rst_i_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIPI4B1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001161")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un5_rst_i_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIPI4B1_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001161")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o6_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNI6QK21_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h01")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIV1IM1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001162")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o5_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNI6QK21_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIV1IM1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE0")) (property HLUTNM (string "top_tdc_lutnm001162")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSDA_RNIBAP71_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0040")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_28_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001163")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSDA_RNIBAP71_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0020")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_28_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001163")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o6_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNI1DA12_o6[20]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hECA0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI72I51_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001164")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o5_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNI1DA12_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI72I51_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001164")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNI5DA12_o6[23]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hECA0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIPR5P1_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001165")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNI5DA12_o5[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIPR5P1_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001165")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNINU2V1_o6[22]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hECA0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_30_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001166")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o5_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNINU2V1_o5[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_30_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001166")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIVCA12_o6[21]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hECA0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIIN5P1_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001167")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIVCA12_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIIN5P1_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001167")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEAC0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIFFGM1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001168")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIFFGM1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001168")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o6_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_1_1_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIHFGM1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001169")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o5_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_1_1_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIHFGM1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001169")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o6_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_o2_0_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_26_1_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001170")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o5_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_o2_0_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_26_1_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001170")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o6_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_o2_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001171")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o5_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_o2_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001171")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o6 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.un2366_tmp_0_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFFD")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o6_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_21_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001172")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o5 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.un2366_tmp_0_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o5_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_21_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001172")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_1_NE_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFDFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001173")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_1_NE_2_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h24")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001173")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0_2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hEA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o6_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_22_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001174")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0_2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o5_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_22_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001174")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result_0_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hEA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_4_1_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001175")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result_0_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_4_1_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001175")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un2_pls_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001176")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un2_pls_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001176")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst_RNIDOGJ_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_24_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001177")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst_RNIDOGJ_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_24_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001177")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001178")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001178")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001179")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001179")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001180")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001180")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001181")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001181")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_29_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001182")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_29_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001182")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001183")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001183")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_23_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001184")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_23_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001184")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001185")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001185")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001186")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001186")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001187")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001187")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001188")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001188")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_13_1_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001189")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_13_1_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001189")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001190")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001190")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001191")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001191")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o6_14 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_18_1_lut6_2_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001192")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o5_14 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_18_1_lut6_2_o5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001192")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001193")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001193")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_25_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001194")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_25_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001194")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_25_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001195")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_25_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001195")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.vector_or.un210_tmp_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE0")) (property HLUTNM (string "top_tdc_lutnm001196")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.vector_or.un210_tmp_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFE0000")) (property HLUTNM (string "top_tdc_lutnm001196")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIIR3L_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001197")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIIR3L_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001197")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o6_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNII6IR_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001198")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o5_11 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNII6IR_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001198")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o6 "cmp_tdc.tdc_core.circular_buffer_block.memory_block_RNIAKOS_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001199")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o5 "cmp_tdc.tdc_core.circular_buffer_block.memory_block_RNIAKOS_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001199")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un2_wb_cyc_i_0_o2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hBFFF")) (property HLUTNM (string "top_tdc_lutnm001200")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un2_wb_cyc_i_0_o2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h74444444")) (property HLUTNM (string "top_tdc_lutnm001200")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o6_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIHH1F1_o6[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0201")) (property HLUTNM (string "top_tdc_lutnm001201")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o5_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIHH1F1_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h9")) (property HLUTNM (string "top_tdc_lutnm001201")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h20")) (property HLUTNM (string "top_tdc_lutnm001202")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0002")) (property HLUTNM (string "top_tdc_lutnm001202")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1 "gnum_interface_block.cmp_clk_in.state_ns_a4_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0008")) (property HLUTNM (string "top_tdc_lutnm001203")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o5_1 "gnum_interface_block.cmp_clk_in.state_ns_a4_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001203")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_rd_1_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) (property HLUTNM (string "top_tdc_lutnm001204")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_rd_1_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001204")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIVCA12_o6[21]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hECA0")) (property HLUTNM (string "top_tdc_lutnm001205")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIVCA12_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001205")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNINU2V1_o6[22]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hECA0")) (property HLUTNM (string "top_tdc_lutnm001206")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o5_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNINU2V1_o5[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001206")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNI5DA12_o6[23]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hECA0")) (property HLUTNM (string "top_tdc_lutnm001207")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNI5DA12_o5[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001207")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hEAC0")) (property HLUTNM (string "top_tdc_lutnm001208")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001208")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h1000")) (property HLUTNM (string "top_tdc_lutnm001209")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_2_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) (property HLUTNM (string "top_tdc_lutnm001209")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_3_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0100")) (property HLUTNM (string "top_tdc_lutnm001210")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_3_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h1000")) (property HLUTNM (string "top_tdc_lutnm001210")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst_RNIS6VV_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h28")) (property HLUTNM (string "top_tdc_lutnm001211")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst_RNIS6VV_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001211")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o6 "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.vector_or.un2043_tmp_0_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) (property HLUTNM (string "top_tdc_lutnm001212")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o5 "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.vector_or.un2043_tmp_0_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001212")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0_2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hEA")) (property HLUTNM (string "top_tdc_lutnm001213")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0_2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001213")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result_0_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hEA")) (property HLUTNM (string "top_tdc_lutnm001214")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result_0_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001214")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o6_8 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI01NU1_o6[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) (property HLUTNM (string "top_tdc_lutnm001215")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o5_8 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNI01NU1_o5[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001215")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o6_19 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIQINQ_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) (property HLUTNM (string "top_tdc_lutnm001216")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o5_19 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_RNIQINQ_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001216")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o6 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8421")) (property HLUTNM (string "top_tdc_lutnm001217")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o5 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001217")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o6_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIE5NF_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) (property HLUTNM (string "top_tdc_lutnm001218")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o5_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIE5NF_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001218")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001219")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001219")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001220")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001220")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001221")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001221")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001222")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001222")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001223")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001223")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001224")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001224")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001225")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001225")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001226")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001226")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001227")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001227")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001228")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001228")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001229")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001229")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001230")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001230")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001231")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001231")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001232")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001232")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_14 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001233")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_14 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001233")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001234")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001234")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001235")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001235")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_14 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001236")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_14 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001236")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001237")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001237")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001238")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001238")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001239")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001239")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001240")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001240")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001241")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001241")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001242")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001242")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001243")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001243")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001244")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001244")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001245")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001245")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001246")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001246")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001247")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001247")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001248")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001248")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001249")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001249")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001250")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001250")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001251")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001251")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001252")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001252")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001253")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001253")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001254")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001254")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001255")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001255")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001256")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001256")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001257")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001257")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001258")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001258")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001259")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001259")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001260")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001260")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001261")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001261")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001262")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001262")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001263")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001263")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001264")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001264")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001265")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001265")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001266")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001266")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001267")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001267")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001268")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001268")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001269")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001269")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001270")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001270")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001271")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001271")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001272")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001272")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001273")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001273")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001274")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001274")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001275")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001275")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001276")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001276")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001277")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001277")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001278")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001278")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001279")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001279")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001280")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001280")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_29 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001281")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_29 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001281")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001282")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001282")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001283")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_16 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001283")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001284")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001284")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001285")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001285")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o6_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h_RNIKIU12_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001286")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o5_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h_RNIKIU12_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001286")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o6_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI3PQ51_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001287")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o5_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI3PQ51_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001287")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001288")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001288")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001289")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001289")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001290")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001290")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001291")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001291")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001292")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001292")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001293")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001293")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001294")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001294")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001295")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001295")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001296")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001296")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001297")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001297")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o6_22 "cmp_tdc.tdc_core.acam_ififo1_o_RNIKMFP_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001298")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o5_22 "cmp_tdc.tdc_core.acam_ififo1_o_RNIKMFP_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001298")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001299")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001299")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001300")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_16 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001300")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001301")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001301")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001302")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001302")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001303")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001303")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001304")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001304")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001305")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_24 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001305")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001306")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001306")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001307")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001307")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001308")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001308")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001309")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001309")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001310")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001310")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001311")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001311")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001312")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001312")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001313")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001313")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001314")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001314")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001315")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001315")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001316")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001316")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001317")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001317")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001318")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001318")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001319")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_14 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001319")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001320")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4_i_m2_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001320")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_29 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001321")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_29 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4_i_m2_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001321")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_29 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001322")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_29 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4_i_m2_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001322")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001323")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4_i_m2_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001323")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001324")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4_i_m2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001324")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001325")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4_i_m2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001325")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001326")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4_i_m2_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001326")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o6_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h_RNIMIU12_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001327")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o5_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h_RNIMIU12_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001327")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o6_21 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h_RNIQIU12_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001328")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o5_21 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h_RNIQIU12_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001328")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001329")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001329")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o6_20 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNIIJ4G_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_3_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001330")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o5_20 "cmp_tdc.tdc_core.reg_control_block.acam_config_0_RNIIJ4G_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_0 "cmp_tdc.tdc_core.reg_control_block.dat_out_3_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001330")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_0 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_i_m2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001331")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_0 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_i_m2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001331")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_1 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o6_23 "cmp_tdc.tdc_core.acam_config_rdbk_1_RNIJPJM1_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001332")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_1 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o5_23 "cmp_tdc.tdc_core.acam_config_rdbk_1_RNIJPJM1_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001332")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_2 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001333")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_2 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001333")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_3 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o6_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_13_i_m2_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001334")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_3 "cmp_tdc.tdc_core.acam_ififo1_o_RNI6KIT_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o5_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_13_i_m2_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001334")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o6_21 "cmp_tdc.tdc_core.acam_ififo1_o_RNI25T51_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001335")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o5_21 "cmp_tdc.tdc_core.acam_ififo1_o_RNI25T51_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001335")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o6_4 "cmp_tdc.tdc_core.acam_ififo1_o_RNIQH5H_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001336")) ) - (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o5_4 "cmp_tdc.tdc_core.acam_ififo1_o_RNIQH5H_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001336")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001337")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001337")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001338")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001338")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o6_16 "cmp_tdc.tdc_core.reg_control_block.starting_utc_RNINL5F1_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001339")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o5_16 "cmp_tdc.tdc_core.reg_control_block.starting_utc_RNINL5F1_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001339")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o6_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_5_RNIEIKE_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001340")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o5_16 "cmp_tdc.tdc_core.reg_control_block.acam_config_5_RNIEIKE_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001340")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001341")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001341")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001342")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001342")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001343")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_20 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001343")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001344")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001344")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001345")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001345")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001346")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001346")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_i_m2_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001347")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_i_m2_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001347")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001348")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001348")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001349")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001349")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001350")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001350")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_22_i_m2_1_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001351")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_22_i_m2_1_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001351")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_22_i_m2_0_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001352")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_22_i_m2_0_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001352")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001353")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001353")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001354")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001354")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o6_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_8_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001355")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o5_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_8_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001355")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001356")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001356")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001357")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_7_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001357")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001358")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_11_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001358")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o6_16 "cmp_tdc.tdc_core.acam_ififo1_o_RNIIAFP_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001359")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o5_16 "cmp_tdc.tdc_core.acam_ififo1_o_RNIIAFP_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001359")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001360")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001360")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001361")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_26 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001361")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001362")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001362")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001363")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001363")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001364")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001364")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001365")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_17 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001365")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001366")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001366")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_31 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001367")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_31 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001367")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001368")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001368")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001369")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_16_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001369")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_14 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001370")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19 "cmp_tdc.tdc_core.reg_control_block.dat_out_12_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001370")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_15_i_m3_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001371")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_15_i_m3_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001371")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_15_i_m3_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001372")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_21 "cmp_tdc.tdc_core.reg_control_block.dat_out_15_i_m3_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001372")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001373")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001373")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001374")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001374")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o6_29 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o6_20 "cmp_tdc.tdc_core.acam_config_rdbk_5_RNIB3OM1_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001375")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o5_29 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o5_20 "cmp_tdc.tdc_core.acam_config_rdbk_5_RNIB3OM1_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001375")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_29 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o6_4 "cmp_tdc.tdc_core.acam_config_rdbk_4_RNIN2KA1_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001376")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_29 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o5_4 "cmp_tdc.tdc_core.acam_config_rdbk_4_RNIN2KA1_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001376")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o6_3 "cmp_tdc.tdc_core.acam_config_rdbk_4_RNINQJA1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001377")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o5_3 "cmp_tdc.tdc_core.acam_config_rdbk_4_RNINQJA1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001377")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_16 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o6_6 "cmp_tdc.tdc_core.acam_ififo2_o_RNI6L001_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001378")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_16 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o5_6 "cmp_tdc.tdc_core.acam_ififo2_o_RNI6L001_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h53")) (property HLUTNM (string "top_tdc_lutnm001378")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_6_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001379")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_6_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001379")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_6_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001380")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_6_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001380")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_6_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001381")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_13 "cmp_tdc.tdc_core.reg_control_block.dat_out_6_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001381")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_22 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_3_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001382")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_22 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5[22]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hAC")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_31 "cmp_tdc.tdc_core.reg_control_block.dat_out_3_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001382")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_3_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001383")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_3_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001383")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_5_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001384")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_5_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001384")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_5_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001385")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_18 "cmp_tdc.tdc_core.reg_control_block.dat_out_5_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001385")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001386")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001386")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001387")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_9 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001387")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_5_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001388")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_5_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001388")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001389")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_6 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001389")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001390")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_4 "cmp_tdc.tdc_core.reg_control_block.dat_out_4_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001390")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o6_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_m2_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001391")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o5_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_m2_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001391")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001392")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_30 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001392")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001393")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_27 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001393")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001394")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_25 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001394")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001395")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_23 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001395")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001396")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001396")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001397")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_10 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001397")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001398")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hCA")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) (property HLUTNM (string "top_tdc_lutnm001398")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001399")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001399")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.dma_ctrl_error_o_e_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h2E")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001400")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.dma_ctrl_error_o_e_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hA303")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_5 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001400")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o6 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_1_RNISCPK_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001401")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_1_RNISCPK_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_2 "cmp_tdc.tdc_core.reg_control_block.dat_out_1_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001401")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o6_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIFVT11_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o6_0 "cmp_vic.U_Wrapped_VIC.vic_var_RNI418T_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h53")) (property HLUTNM (string "top_tdc_lutnm001402")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIFVT11_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hEF1FFF0F")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o5_0 "cmp_vic.U_Wrapped_VIC.vic_var_RNI418T_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001402")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2 "cmp_tdc_clks_rsts_mgment.config_st_RNILKN21_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o6_1 "cmp_vic.U_Wrapped_VIC.vic_var_RNI358T_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h53")) (property HLUTNM (string "top_tdc_lutnm001403")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2 "cmp_tdc_clks_rsts_mgment.config_st_RNILKN21_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF11FFF11")) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o5_1 "cmp_vic.U_Wrapped_VIC.vic_var_RNI358T_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001403")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_6_RNI2B821_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001404")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_6_RNI2B821_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0095")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001404")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001405")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001405")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001406")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o5_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h40")) (property HLUTNM (string "top_tdc_lutnm001406")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIVS9G_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001407")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIVS9G_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001407")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o6_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIICL11_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001408")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o5_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIICL11_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001408")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o6_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIPKSR_o6[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_27 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001409")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o5_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIPKSR_o5[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001409")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIFCRR_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001410")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIFCRR_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001410")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o6_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIRBN71_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001411")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o5_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIRBN71_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001411")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o6_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIMFN71_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_14 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001412")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o5_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIMFN71_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[14]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001412")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o6_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIOFN71_o6[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_13 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001413")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o5_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIOFN71_o5[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_13 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001413")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNII3N71_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001414")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNII3N71_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001414")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNII3N71_o6[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_5 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001415")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNII3N71_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_5 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001415")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o6_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNID7N71_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001416")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o5_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNID7N71_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001416")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o6_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIQTO11_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001417")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o5_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIQTO11_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001417")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o6_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIL7N71_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001418")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o5_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIL7N71_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001418")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIEDJ71_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o6_29 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001419")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIEDJ71_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o5_29 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001419")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o6_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIPKCK_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001420")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o5_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIPKCK_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001420")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o6_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIL3NR_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001421")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o5_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIL3NR_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001421")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o6_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI06P11_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_2 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001422")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o5_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI06P11_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_2 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001422")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNISS9G_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001423")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNISS9G_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_24 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001423")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIJRMR_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_21 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001424")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIJRMR_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_21 "gnum_interface_block.cmp_dma_controller.p_fsm.dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hAC")) (property HLUTNM (string "top_tdc_lutnm001424")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o6_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIKGL11_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001425")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o5_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIKGL11_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001425")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIG5J71_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001426")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIG5J71_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001426")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_valid_RNI7I9L1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hB")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001427")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_valid_RNI7I9L1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hEFEECFCC")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001427")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o6_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNITDP11_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001428")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o5_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNITDP11_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001428")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o6_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIU1P11_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001429")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o5_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIU1P11_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001429")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_rd_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001430")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_rd_1_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[7]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001430")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.p_wb_ack_cnt.un1_p2l_dma_ack_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001431")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.p_wb_ack_cnt.un1_p2l_dma_ack_i_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001431")) ) - (instance (rename gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o6 "gnum_interface_block.p_dma_wb_mux.un2_p2l_dma_cyc_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001432")) ) - (instance (rename gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o5 "gnum_interface_block.p_dma_wb_mux.un2_p2l_dma_cyc_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001432")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un3_pd_wbm_target_mrd_i_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hF888")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001433")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un3_pd_wbm_target_mrd_i_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[12]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001433")) ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6 "gnum_interface_block.cmp_p2l_decode32.p_type_decode.un3_p2l_packet_end_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h3222")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001434")) ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o5 "gnum_interface_block.cmp_p2l_decode32.p_type_decode.un3_p2l_packet_end_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001434")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001435")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h002A")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001435")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001436")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hF5C0")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001436")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001437")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFF80FF00")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001437")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.un1_engine_st_3_i_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001438")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.un1_engine_st_3_i_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEAC0")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_25 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001438")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o6 "cmp_tdc.tdc_core.data_engine_block.acam_we_o_i_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001439")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o5 "cmp_tdc.tdc_core.data_engine_block.acam_we_o_i_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h02020200")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001439")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o6 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_wb_adr.un9_tstamp_wr_cyc_i_o3_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001440")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o5 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_wb_adr.un9_tstamp_wr_cyc_i_o3_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001440")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o6 "cmp_tdc.tdc_core.TDCboard_leds.lad_1to5_outputs.tdc_led_trig3_o_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001441")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o5 "cmp_tdc.tdc_core.TDCboard_leds.lad_1to5_outputs.tdc_led_trig3_o_1_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o5_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001441")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o6 "cmp_tdc.tdc_core.interrupts_generator.irq_st_s2_0_a2_0_a3_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001442")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o5 "cmp_tdc.tdc_core.interrupts_generator.irq_st_s2_0_a2_0_a3_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o5_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hCA")) (property HLUTNM (string "top_tdc_lutnm001442")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o6 "cmp_tdc.tdc_core.acam_data_block.ack_o_i_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_rd_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001443")) ) - (instance (rename cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o5 "cmp_tdc.tdc_core.acam_data_block.ack_o_i_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h1D1D1DDD")) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_rd_1_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001443")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o6 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_wb_adr.un3_rst_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001444")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o5 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_wb_adr.un3_rst_i_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0080")) (property HLUTNM (string "top_tdc_lutnm001444")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001445")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001445")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001446")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o5_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001446")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un4_cnt_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o6 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_1_RNISCPK_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001447")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un4_cnt_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hF888FFFF")) + (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_1_RNISCPK_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001447")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o6_11 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIBE9O_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o6_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIFVT11_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001448")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o5_11 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIBE9O_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index_RNIFVT11_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hEF1FFF0F")) (property HLUTNM (string "top_tdc_lutnm001448")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o6_2 "cmp_tdc_clks_rsts_mgment.config_st_RNI5CDT_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001449")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o5_2 "cmp_tdc_clks_rsts_mgment.config_st_RNI5CDT_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h1FF1")) (property HLUTNM (string "top_tdc_lutnm001449")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_6_RNI2B821_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001450")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index_s_6_RNI2B821_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0095")) (property HLUTNM (string "top_tdc_lutnm001450")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_1_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001451")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_1_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_1_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001451")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_2_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001452")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_2_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001452")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_3_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001453")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_3_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001453")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_4_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001454")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_4_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001454")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_5_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001455")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_5_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001455")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o6 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy_0_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_6_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001456")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o5 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy_0_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_6_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001456")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_7_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001457")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_7_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001457")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_8_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001458")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_8_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001458")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_4_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_9_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001459")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_4_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_9_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001459")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_3_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_12_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001460")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_3_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_12_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001460")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.result_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_16_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001461")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.result_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h08888888")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_16_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001461")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_31_1_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_17_i_i_a5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001462")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_31_1_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h08888888")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5_17_i_i_a5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001462")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_9_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o6_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI1G3T1_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001463")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_9_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o5_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI1G3T1_o5[27]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h002A")) (property HLUTNM (string "top_tdc_lutnm001463")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un29_mbusy_2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIG5J71_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001464")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un29_mbusy_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIG5J71_o5[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001464")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o6_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIF5J71_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001465")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o5_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIF5J71_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001465")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o6_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIF3N71_o6[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001466")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o5_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIF3N71_o5[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001466")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o6_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIC9J71_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001467")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o5_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIC9J71_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001467")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_30_i_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIJ7N71_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001468")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_30_i_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIJ7N71_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001468")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o6_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNIHU1M_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIJ7N71_o6[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001469")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o5_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNIHU1M_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIJ7N71_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001469")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o6_18 "cmp_vic.U_Wrapped_VIC.vic_var_RNI9CQ71_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIEDJ71_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001470")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o5_18 "cmp_vic.U_Wrapped_VIC.vic_var_RNI9CQ71_o5[18]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hC0AA0AAA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIEDJ71_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001470")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_30_i_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o6_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIPBN71_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001471")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_30_i_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0F1F")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o5_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIPBN71_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001471")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o6 "cmp_sdb_crossbar.crossbar.master_oe_2.we_i_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o6_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIR7N71_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001472")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o5 "cmp_sdb_crossbar.crossbar.master_oe_2.we_i_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFEA")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o5_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIR7N71_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001472")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o6_2 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI71TN_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001473")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o5_2 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI71TN_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hF5C0")) (property HLUTNM (string "top_tdc_lutnm001473")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.6.slave_logic.slave_matrix_or.31.result_29_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001474")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.6.slave_logic.slave_matrix_or.31.result_29_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hA800")) (property HLUTNM (string "top_tdc_lutnm001474")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_29_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o6_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001475")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_29_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o5_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h002AFFFF")) (property HLUTNM (string "top_tdc_lutnm001475")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o6_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIFU9O_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o6_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIHBN71_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001476")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o5_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIFU9O_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o5_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIHBN71_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001476")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_eoir_wr_o_RNIAIRT_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o6_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI4QP11_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001477")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_eoir_wr_o_RNIAIRT_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h70000000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o5_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNI4QP11_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001477")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_idr_wr_o_RNI5LBH_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o6_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIQKCK_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001478")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_idr_wr_o_RNI5LBH_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h54F0F0F0")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o5_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIQKCK_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001478")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.result_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIFCRR_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001479")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.result_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIFCRR_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001479")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIM7NR_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001480")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIM7NR_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001480")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6 "gnum_interface_block.cmp_p2l_decode32.p_type_decode.un3_p2l_packet_end_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h3222")) (property HLUTNM (string "top_tdc_lutnm001481")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o5 "gnum_interface_block.cmp_p2l_decode32.p_type_decode.un3_p2l_packet_end_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001481")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o6 "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un3_pd_wbm_target_mrd_i_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hF888")) (property HLUTNM (string "top_tdc_lutnm001482")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o5 "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un3_pd_wbm_target_mrd_i_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001482")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o6_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIPJNR_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001483")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h8000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o5_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIPJNR_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001483")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o6_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIL4SR_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001484")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o5_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIL4SR_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001484")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_3_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o6_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNI5O0F1_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001485")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_3_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o5_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNI5O0F1_o5[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h7FFF")) (property HLUTNM (string "top_tdc_lutnm001485")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIINMR_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001486")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIINMR_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001486")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIFCRR_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001487")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIFCRR_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001487")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o6_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIRS9G_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001488")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o5_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIRS9G_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001488")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIVS9G_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001489")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_RNIVS9G_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001489")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o6_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIQ1P11_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001490")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o5_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIQ1P11_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001490")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_3_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIM7NR_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001491")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_3_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h_RNIM7NR_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001491")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o6_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIRTO11_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001492")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o5_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l_RNIRTO11_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001492")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o6_6 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNII4Q61_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001493")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o5_6 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNII4Q61_o5[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) (property HLUTNM (string "top_tdc_lutnm001493")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o6_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_o3_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001494")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o5_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_o3_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001494")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.tip_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_m6_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001495")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.tip_1_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h44444445")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_m6_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00100000")) (property HLUTNM (string "top_tdc_lutnm001495")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o6 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy_4_i_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o6_8 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNIUHSI1_o6[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001496")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o5 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy_4_i_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFFFFFE")) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o5_8 "cmp_tdc.tdc_core.data_engine_block.engine_st_RNIUHSI1_o5[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hBBBF8880")) (property HLUTNM (string "top_tdc_lutnm001496")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_19_1_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o6_6 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_RNI344I1_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001497")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_19_1_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o5_6 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_RNI344I1_o5[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h000C0008")) (property HLUTNM (string "top_tdc_lutnm001497")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o6_10 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIF33L1_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o6_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_a2_4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001498")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o5_10 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIF33L1_o5[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1FFF")) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o5_3 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_a2_4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) (property HLUTNM (string "top_tdc_lutnm001498")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_26_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o6 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_wb_adr.un9_tstamp_wr_cyc_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001499")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_26_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o5 "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_wb_adr.un9_tstamp_wr_cyc_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hF888")) (property HLUTNM (string "top_tdc_lutnm001499")) ) (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.6.slave_logic.slave_matrix_or.31.result_27_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001500")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.6.slave_logic.slave_matrix_or.31.result_27_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h01")) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.6.slave_logic.slave_matrix_or.31.result_27_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001500")) ) (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_27_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) @@ -32619,1677 +30651,2325 @@ (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001501")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o6_8 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIKTHB1_o6[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_23_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001502")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o5_8 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIKTHB1_o5[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0100")) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_23_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001502")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o6_11 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIR3DH1_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o6_31 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNISMUQ_o6[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001503")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o5_11 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIR3DH1_o5[11]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFFB")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o5_31 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNISMUQ_o5[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001503")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o6_8 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI44DH1_o6[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o6 "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.vector_or.vector_or.vector_or.vector_or.vector_or.un2028_tmp_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001504")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o5_8 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI44DH1_o5[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1000")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o5 "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.vector_or.vector_or.vector_or.vector_or.vector_or.un2028_tmp_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hEF")) (property HLUTNM (string "top_tdc_lutnm001504")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o6_6 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIU5RK6_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o6 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.vector_or.vector_or.vector_or.un2636_tmp_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) (property HLUTNM (string "top_tdc_lutnm001505")) ) - (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o5_6 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIU5RK6_o5[6]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00020000")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o5 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.vector_or.vector_or.vector_or.un2636_tmp_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) (property HLUTNM (string "top_tdc_lutnm001505")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o6_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNITC9F1_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001506")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o5_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNITC9F1_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) (property HLUTNM (string "top_tdc_lutnm001506")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o6[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.6.slave_logic.slave_matrix_or.31.result_23_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001507")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_2_1_lut6_2_o5[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.6.slave_logic.slave_matrix_or.31.result_23_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001507")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8 "cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_24_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001508")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_7_1_lut6_2_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8 "cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_24_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001508")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.ack_row_5_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001509")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.ack_row_5_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001509")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.result_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001510")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_11_1_lut6_2_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.result_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h54F0F0F0")) (property HLUTNM (string "top_tdc_lutnm001510")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_13_1_lut6_2_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_dma_eic_ack_sreg_RNIKS5P_o6_0 "cmp_dma_eic.ack_sreg_RNIKS5P_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001511")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_13_1_lut6_2_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_dma_eic_ack_sreg_RNIKS5P_o5_0 "cmp_dma_eic.ack_sreg_RNIKS5P_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001511")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_14_1_lut6_2_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIT3MP1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001512")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_14_1_lut6_2_o5[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIT3MP1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h40000000")) (property HLUTNM (string "top_tdc_lutnm001512")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o6_12 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIE7VG_o6[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001513")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o5_12 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIE7VG_o5[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001513")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o6_10 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNICA9O_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001514")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_15_1_lut6_2_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o5_10 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNICA9O_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001514")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o6_26 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIH2AO_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001515")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o5_26 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIH2AO_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001515")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o6_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_i_0_a2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001516")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_16_1_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_i_0_a2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0002")) (property HLUTNM (string "top_tdc_lutnm001516")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_19_1_lut6_2_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o6_27 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIQVVG_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001517")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_19_1_lut6_2_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o5_27 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIQVVG_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001517")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_4_1_lut6_2_o6[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o6_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIDM9O_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001518")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_4_1_lut6_2_o5[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o5_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIDM9O_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001518")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.result_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001519")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.result_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h15")) (property HLUTNM (string "top_tdc_lutnm001519")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o6_26 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI2EMF1_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001520")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o5_26 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI2EMF1_o5[26]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0F1F")) (property HLUTNM (string "top_tdc_lutnm001520")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o6_9 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNILE9O_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001521")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o5_9 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNILE9O_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001521")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o6_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI38CR_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001522")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_6_1_lut6_2_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o5_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI38CR_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001522")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o6_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI68CR_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001523")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o5_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI68CR_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001523")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o6_29 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI2NVQ_o6[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001524")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o5_29 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI2NVQ_o5[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001524")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o6[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o6_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIU3BR_o6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001525")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_8_1_lut6_2_o5[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o5_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIU3BR_o5[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001525")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_csr_rddata_reg_RNITNIJ_o6_0 "cmp_carrier_csr.rddata_reg_RNITNIJ_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001526")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_csr_rddata_reg_RNITNIJ_o5_0 "cmp_carrier_csr.rddata_reg_RNITNIJ_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001526")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o6_17 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIF6AO_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001527")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o5_17 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIF6AO_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001527")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o6_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI20CR_o6[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001528")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_17_1_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o5_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI20CR_o5[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001528")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001529")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001529")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSCL_RNIQ81J1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001530")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSCL_RNIQ81J1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0000F070")) (property HLUTNM (string "top_tdc_lutnm001530")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o6_19 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIIEAO_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001531")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o5_19 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIIEAO_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001531")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o6_8 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI5P7L_o6[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001532")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_22_1_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o5_8 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI5P7L_o5[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001532")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o6_21 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI3MTD_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001533")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o5_21 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI3MTD_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001533")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_29_1_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_10 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIFA9O_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001534")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_29_1_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_10 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIFA9O_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001534")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_29_1_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o6_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hCAAA")) (property HLUTNM (string "top_tdc_lutnm001535")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_29_1_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o5_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001535")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o6_21 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIQVAR_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001536")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o5_21 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIQVAR_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001536")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o6[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o6 "cmp_sdb_crossbar.crossbar.master_oe_5.stb_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001537")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_3_1_lut6_2_o5[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o5 "cmp_sdb_crossbar.crossbar.master_oe_5.stb_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001537")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI48CR_o6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001538")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI48CR_o5[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001538")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o6_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI0KBR_o6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001539")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_23_1_lut6_2_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o5_22 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI0KBR_o5[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001539")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o6_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNILG531_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o6_19 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIQEAO_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001540")) ) - (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o5_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNILG531_o5[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0400")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o5_19 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIQEAO_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001540")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_3_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o6_17 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIBOCR_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001541")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_3_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o5_17 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIBOCR_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001541")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_15 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIVJBR_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001542")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_15 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIVJBR_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001542")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_26_1_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o6_15 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI1KBR_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001543")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_26_1_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o5_15 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI1KBR_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001543")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o6_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_i_a4_0_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o6_15 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIIU9O_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001544")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_i_a4_0_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hAABA0030")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o5_15 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIIU9O_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001544")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o6_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNIU46H_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h6")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o6_5 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI4P7L_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001545")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o5_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_RNIU46H_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0010")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o5_5 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI4P7L_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001545")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o6_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNI0CAD_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o6_4 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIUO7L_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001546")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o5_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNI0CAD_o5[17]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h02")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o5_4 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIUO7L_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001546")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o6_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIKU9O_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001547")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20 "cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o5_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIKU9O_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001547")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o6_9 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIREAO_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001548")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_12_1_lut6_2_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o5_9 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIREAO_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001548")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_2_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o6_28 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIB0DR_o6[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001549")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_2_1_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFDFC")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o5_28 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIB0DR_o5[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001549")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o6_14 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI78CR_o6[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001550")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_5_1_lut6_2_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o5_14 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI78CR_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001550")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o6[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o6_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIUJBR_o6[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001551")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.31.result_9_1_lut6_2_o5[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o5_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIUJBR_o5[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001551")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI48CR_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001552")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_21_1_lut6_2_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_13 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI48CR_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001552")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.result_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o6_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI1GBR_o6[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001553")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.result_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o5_12 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI1GBR_o5[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001553")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o6[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIVJBR_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001554")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31 "cmp_sdb_crossbar.crossbar.slave_matrixs.1.slave_logic.slave_matrix_or.31.result_1_1_lut6_2_o5[31]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIVJBR_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001554")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_30_1_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o6_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIJA9O_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001555")) ) - (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_30_1_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o5_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIJA9O_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001555")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un4_owr_cyc_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_30 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIFA9O_o6[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001556")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un4_owr_cyc_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_30 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIFA9O_o5[30]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001556")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_in_progress_RNIFAAN_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o6_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNI9EBJ_o6[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001557")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_in_progress_RNIFAAN_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h15")) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o5_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNI9EBJ_o5[12]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001557")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o6_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI7CCR_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001558")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o5_25 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI7CCR_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001558")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_27_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o6_21 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI0GBR_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001559")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_27_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o5_21 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNI0GBR_o5[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001559")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_26_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o6_27 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIM6AO_o6[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001560")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_26_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o5_27 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIM6AO_o5[27]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001560")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_25_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_ren_1_RNIBKNA1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001561")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_25_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_ren_1_RNIBKNA1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) (property HLUTNM (string "top_tdc_lutnm001561")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_24_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o6_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNI2KK81_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001562")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_24_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o5_1 "cmp_sdb_crossbar.crossbar.matrix_old_RNI2KK81_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h007F")) (property HLUTNM (string "top_tdc_lutnm001562")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_23_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o6_23 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIBM9O_o6[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001563")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_23_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o5_23 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIBM9O_o5[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001563")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_22_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o6_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNISBDM_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001564")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_22_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o5_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNISBDM_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001564")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_21_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o6_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIU7BR_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001565")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_21_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o5_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIU7BR_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001565")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o6_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNIPVCM_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001566")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o5_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNIPVCM_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001566")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o6_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIUBBR_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001567")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o5_20 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIUBBR_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001567")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o6_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNITJDM_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001568")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o5_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNITJDM_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001568")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o6_16 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIG2AO_o6[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001569")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o5_16 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIG2AO_o5[16]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001569")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o6_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNIVVDM_o6[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001570")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o5_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNIVVDM_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001570")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o6_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNIAOFR_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001571")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o5_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_RNIAOFR_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001571")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_csr_ack_sreg_RNI2KJ7_o6_0 "cmp_carrier_csr.ack_sreg_RNI2KJ7_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001572")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_csr_ack_sreg_RNI2KJ7_o5_0 "cmp_carrier_csr.ack_sreg_RNI2KJ7_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001572")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o6_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_sreg_RNIBEUV_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001573")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o5_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_sreg_RNIBEUV_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001573")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o6_1 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIFRHJ_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001574")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o5_1 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIFRHJ_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001574")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o6 "cmp_sdb_crossbar.rom.slave_o.ack_RNIDFFD1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001575")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o5 "cmp_sdb_crossbar.rom.slave_o.ack_RNIDFFD1_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001575")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIBJKR_o6_14 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIBJKR_o6[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001576")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIBJKR_o5_14 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNIBJKR_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001576")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o6_4 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI7OL91_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001577")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o5_4 "gnum_interface_block.cmp_wbmaster32.wb_adr_t_RNI7OL91_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) (property HLUTNM (string "top_tdc_lutnm001577")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o6_7 "cmp_carrier_csr.carrier_csr_ctrl_reserved_int_RNIUBB41_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001578")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o5_7 "cmp_carrier_csr.carrier_csr_ctrl_reserved_int_RNIUBB41_o5[7]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001578")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.result_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001579")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.slave_matrixs.2.slave_logic.slave_matrix_or.result_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001579")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o6_2 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIM1LQ_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001580")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o5_2 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNIM1LQ_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h40")) (property HLUTNM (string "top_tdc_lutnm001580")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o6_29 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI6MTD_o6[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001581")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o5_29 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI6MTD_o5[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001581")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o6_1 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNICMCJ_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001582")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o5_1 "gnum_interface_block.cmp_wbmaster32.wb_dat_o_t_RNICMCJ_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001582")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o6_22 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNITLTD_o6[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001583")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o5_22 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNITLTD_o5[22]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001583")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o6_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNIL6AV_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001584")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o5_5 "cmp_sdb_crossbar.crossbar.matrix_old_RNIL6AV_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001584")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o6 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.ack_RNI9EUI1_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h4000")) (property HLUTNM (string "top_tdc_lutnm001585")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o5 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.ack_RNI9EUI1_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001585")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_2 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI5JBN_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001586")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_2 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI5JBN_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001586")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_4 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI5JBN_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001587")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_4 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI5JBN_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001587")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o6_5 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI4FBN_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001588")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o5_5 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI4FBN_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001588")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o6_6 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNILD9K_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001589")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o5_6 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNILD9K_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001589")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o6_7 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIKH9K_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001590")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o5_7 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIKH9K_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001590")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_8 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNICBCN_o6[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001591")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_8 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNICBCN_o5[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001591")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_9 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNICBCN_o6[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001592")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_9 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNICBCN_o5[9]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001592")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o6_10 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIDJVG_o6[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001593")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o5_10 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIDJVG_o5[10]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001593")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_30_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o6_11 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNICBVG_o6[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001594")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_30_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o5_11 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNICBVG_o5[11]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001594")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o6_13 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNID7VG_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001595")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o5_13 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNID7VG_o5[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001595")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o6_14 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI4ITD_o6[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001596")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o5_14 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI4ITD_o5[14]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001596")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o6_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer_RNILTAU_o6[13]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001597")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o5_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer_RNILTAU_o5[13]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hC0AA")) (property HLUTNM (string "top_tdc_lutnm001597")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o6_15 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIKNVG_o6[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001598")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o5_15 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIKNVG_o5[15]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001598")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_7_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o6_17 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNII7VG_o6[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001599")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_7_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o5_17 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNII7VG_o5[17]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001599")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o6_7 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNIGH5I1_o6[7]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o6_18 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIP30H_o6[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001600")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o5_7 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_dat_o_RNIGH5I1_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o5_18 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIP30H_o5[18]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001600")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o6_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNI7VLE1_o6[8]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h007F")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o6_20 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI4MTD_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001601")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o5_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.adp_in.dat_RNI7VLE1_o5[8]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o5_20 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNI4MTD_o5[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001601")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o6_25 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIJ7VG_o6[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001602")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h08")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o5_25 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIJ7VG_o5[25]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001602")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o6_26 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIQ30H_o6[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001603")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o5_26 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIQ30H_o5[26]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001603")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o6_28 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIOJVG_o6[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001604")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o5_28 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIOJVG_o5[28]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001604")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o6_19 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNINJVG_o6[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001605")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o5_19 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNINJVG_o5[19]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001605")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o6_23 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIG7VG_o6[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001606")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o5_23 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIG7VG_o5[23]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001606")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o6_24 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIMVVG_o6[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001607")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o5_24 "cmp_carrier_onewire.U_Wrapped_1W.adp_in.dat_RNIMVVG_o5[24]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001607")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001608")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001608")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001609")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hA000CCCC")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001609")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001610")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001610")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_5_5_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001611")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_5_5_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001611")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001612")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001612")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001613")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001613")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001614")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001614")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001615")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o5_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h55555554")) (property HLUTNM (string "top_tdc_lutnm001615")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_1_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001616")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_1_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) (property HLUTNM (string "top_tdc_lutnm001616")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_stop_0_sqmuxa_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001617")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_stop_0_sqmuxa_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001617")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o6_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a2_0_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001618")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a2_0_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) (property HLUTNM (string "top_tdc_lutnm001618")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.dSDA_2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001619")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hCCA00000")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.dSDA_2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFCAA")) (property HLUTNM (string "top_tdc_lutnm001619")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o6_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_7_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h8")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o6_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNI7HU71_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) (property HLUTNM (string "top_tdc_lutnm001620")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_7_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hA000CCCC")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o5_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNI7HU71_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h0002000A")) (property HLUTNM (string "top_tdc_lutnm001620")) ) - (instance (rename gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o6 "gnum_interface_block.cmp_clk_in.rx_bufpll_lckd_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h7")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001621")) ) - (instance (rename gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o5 "gnum_interface_block.cmp_clk_in.rx_bufpll_lckd_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hF8")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001621")) ) - (instance (rename gnum_interface_block_dma_ctrl_done_lut6_2_o6 "gnum_interface_block.dma_ctrl_done_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001622")) ) - (instance (rename gnum_interface_block_dma_ctrl_done_lut6_2_o5 "gnum_interface_block.dma_ctrl_done_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFFFEFF")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001622")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_31_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_11_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001623")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_31_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_11_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001623")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_30_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001624")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_30_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001624")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_28_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001625")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_28_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001625")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_27_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001626")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_27_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001626")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_24_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001627")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_24_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001627")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_23_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001628")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_23_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001628")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_20_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001629")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_20_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001629")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_19_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001630")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_19_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001630")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_16_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001631")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_16_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001631")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_15_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_14_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001632")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_15_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_14_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001632")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_12_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001633")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_12_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001633")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_11_2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001634")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_11_2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001634")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001635")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001635")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001636")) ) - (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001636")) ) - (instance (rename cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o6 "cmp_sdb_crossbar.rom.slave_clk.slave_o.ack_1_0_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001637")) ) - (instance (rename cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o5 "cmp_sdb_crossbar.rom.slave_clk.slave_o.ack_1_0_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h0088F0F0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001637")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o6 "cmp_vic.U_Wrapped_VIC.un1_rst_n_i_2_i_a2_0_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_15_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001638")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o5 "cmp_vic.U_Wrapped_VIC.un1_rst_n_i_2_i_a2_0_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEAFA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_15_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001638")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o6_2 "cmp_tdc_clks_rsts_mgment.config_st_RNILKN21_0_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001639")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o5_2 "cmp_tdc_clks_rsts_mgment.config_st_RNILKN21_0_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h1FF1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001639")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o6_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIOKQ61_o6[20]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001640")) ) - (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o5_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_RNIOKQ61_o5[20]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00010000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001640")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un2_pls_0_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001641")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un2_pls_0_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001641")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o6 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001642")) ) - (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o5 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_1_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hD0D1D1D1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001642")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_3_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_20_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001643")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_3_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h80000000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_20_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001643")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_10_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001644")) ) - (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_10_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001644")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o6_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h2")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001645")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFE0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001645")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o6_3 "cmp_tdc.tdc_core.data_engine_block.acam_adr_cnst_i_a2_0_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_21_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001646")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o5_3 "cmp_tdc.tdc_core.data_engine_block.acam_adr_cnst_i_a2_0_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hEAC0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_21_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001646")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o6_7 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNILHDH_o6[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001647")) ) - (instance (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o5_7 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_RNILHDH_o5[7]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'hE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001647")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o6_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_0_0_1_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001648")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o5_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_0_0_1_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0100")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001648")) ) - (instance (rename cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o6_0 "cmp_dma_eic.un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h4")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001649")) ) - (instance (rename cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5_0 "cmp_dma_eic.un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h80000000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001649")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o6 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001650")) ) - (instance (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o5 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hCAAA")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001650")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o6_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_0_0_0_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001651")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o5_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_0_0_0_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0100")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001651")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o6_29 "cmp_vic.U_Wrapped_VIC.vic_var_RNIRO0B1_o6[29]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) - (property INIT (string "4'h1")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001652")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o5_29 "cmp_vic.U_Wrapped_VIC.vic_var_RNIRO0B1_o5[29]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h20000000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001652")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o6_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001653")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFFFFFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001653")) ) - (instance (rename gnum_interface_block_dma_ctrl_error_lut6_2_o6 "gnum_interface_block.dma_ctrl_error_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001654")) ) - (instance (rename gnum_interface_block_dma_ctrl_error_lut6_2_o5 "gnum_interface_block.dma_ctrl_error_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001654")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o6_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNIA5RK1_o6[13]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001655")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o5_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNIA5RK1_o5[13]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00010000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001655")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFFD")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001656")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000020")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001656")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o21_1_RNINQ7F1_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00002000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001657")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o21_1_RNINQ7F1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00008000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001657")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o6 "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc_RNIUU7F1_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h40000000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001658")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o5 "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc_RNIUU7F1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00004000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001658")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00800000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001659")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h00000020")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001659")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_RNIATCU_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFF70")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001660")) ) - (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_RNIATCU_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hFE")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001660")) ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o6 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un4_p2l_d_valid_lut6_2_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hEEE0E0E0")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001661")) ) - (instance (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o5 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un4_p2l_d_valid_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hE000")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001661")) ) - (instance (rename gnum_interface_block_dma_dat_o_29_lut6_2_o6 "gnum_interface_block.dma_dat_o_29_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001662")) ) - (instance (rename gnum_interface_block_dma_dat_o_29_lut6_2_o5 "gnum_interface_block.dma_dat_o_29_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001662")) ) - (instance (rename gnum_interface_block_dma_dat_o_3_lut6_2_o6 "gnum_interface_block.dma_dat_o_3_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_6_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001663")) ) - (instance (rename gnum_interface_block_dma_dat_o_3_lut6_2_o5 "gnum_interface_block.dma_dat_o_3_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_6_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001663")) ) - (instance (rename gnum_interface_block_dma_dat_o_7_lut6_2_o6 "gnum_interface_block.dma_dat_o_7_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001664")) ) - (instance (rename gnum_interface_block_dma_dat_o_7_lut6_2_o5 "gnum_interface_block.dma_dat_o_7_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001664")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o6_16 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNI7GGG_o6[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFFFF10")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_9_3_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001665")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o5_16 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_RNI7GGG_o5[16]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFFF4")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_9_3_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001665")) ) - (instance (rename gnum_interface_block_dma_dat_o_31_lut6_2_o6 "gnum_interface_block.dma_dat_o_31_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un40_mbusy_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001666")) ) - (instance (rename gnum_interface_block_dma_dat_o_31_lut6_2_o5 "gnum_interface_block.dma_dat_o_31_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un40_mbusy_1_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001666")) ) - (instance (rename gnum_interface_block_dma_dat_o_26_lut6_2_o6 "gnum_interface_block.dma_dat_o_26_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001667")) ) - (instance (rename gnum_interface_block_dma_dat_o_26_lut6_2_o5 "gnum_interface_block.dma_dat_o_26_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001667")) ) - (instance (rename gnum_interface_block_dma_dat_o_24_lut6_2_o6 "gnum_interface_block.dma_dat_o_24_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_4_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001668")) ) - (instance (rename gnum_interface_block_dma_dat_o_24_lut6_2_o5 "gnum_interface_block.dma_dat_o_24_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_4_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001668")) ) - (instance (rename gnum_interface_block_dma_dat_o_19_lut6_2_o6 "gnum_interface_block.dma_dat_o_19_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un40_mbusy_0_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) (property HLUTNM (string "top_tdc_lutnm001669")) ) - (instance (rename gnum_interface_block_dma_dat_o_19_lut6_2_o5 "gnum_interface_block.dma_dat_o_19_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un40_mbusy_0_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001669")) ) - (instance (rename gnum_interface_block_dma_dat_o_10_lut6_2_o6 "gnum_interface_block.dma_dat_o_10_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o6_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNI51EA1_o6[5]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h2AAA")) (property HLUTNM (string "top_tdc_lutnm001670")) ) - (instance (rename gnum_interface_block_dma_dat_o_10_lut6_2_o5 "gnum_interface_block.dma_dat_o_10_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o5_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_dat_o_RNI51EA1_o5[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001670")) ) - (instance (rename gnum_interface_block_dma_dat_o_21_lut6_2_o6 "gnum_interface_block.dma_dat_o_21_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o6 "cmp_tdc.tdc_core.interrupts_generator.irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) (property HLUTNM (string "top_tdc_lutnm001671")) ) - (instance (rename gnum_interface_block_dma_dat_o_21_lut6_2_o5 "gnum_interface_block.dma_dat_o_21_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5 "cmp_tdc.tdc_core.interrupts_generator.irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFBFBFB")) (property HLUTNM (string "top_tdc_lutnm001671")) ) - (instance (rename gnum_interface_block_dma_dat_o_6_lut6_2_o6 "gnum_interface_block.dma_dat_o_6_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o6 "gnum_interface_block.cmp_clk_in.rx_bufpll_lckd_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h7")) (property HLUTNM (string "top_tdc_lutnm001672")) ) - (instance (rename gnum_interface_block_dma_dat_o_6_lut6_2_o5 "gnum_interface_block.dma_dat_o_6_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o5 "gnum_interface_block.cmp_clk_in.rx_bufpll_lckd_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hF8")) (property HLUTNM (string "top_tdc_lutnm001672")) ) - (instance (rename gnum_interface_block_dma_dat_o_25_lut6_2_o6 "gnum_interface_block.dma_dat_o_25_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_dma_eic_rddata_reg_RNICVIB1_o6_1 "cmp_dma_eic.rddata_reg_RNICVIB1_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h4000")) (property HLUTNM (string "top_tdc_lutnm001673")) ) - (instance (rename gnum_interface_block_dma_dat_o_25_lut6_2_o5 "gnum_interface_block.dma_dat_o_25_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_dma_eic_rddata_reg_RNICVIB1_o5_1 "cmp_dma_eic.rddata_reg_RNICVIB1_o5[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001673")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o6_10 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_lut6_2_o6[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0010")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001674")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o5_10 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_lut6_2_o5[10]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h57")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001674")) ) - (instance (rename gnum_interface_block_dma_dat_o_20_lut6_2_o6 "gnum_interface_block.dma_dat_o_20_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001675")) ) - (instance (rename gnum_interface_block_dma_dat_o_20_lut6_2_o5 "gnum_interface_block.dma_dat_o_20_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001675")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o6_1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hAAAC")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001676")) ) - (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o5_1 "cmp_tdc.tdc_core.data_engine_block.acam_adr_o_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h11111105")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001676")) ) - (instance (rename gnum_interface_block_dma_dat_o_27_lut6_2_o6 "gnum_interface_block.dma_dat_o_27_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001677")) ) - (instance (rename gnum_interface_block_dma_dat_o_27_lut6_2_o5 "gnum_interface_block.dma_dat_o_27_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001677")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNIUUIR1_o6[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hA0E0")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001678")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_RNIUUIR1_o5[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hAAEA")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001678")) ) - (instance (rename gnum_interface_block_dma_dat_o_9_lut6_2_o6 "gnum_interface_block.dma_dat_o_9_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001679")) ) - (instance (rename gnum_interface_block_dma_dat_o_9_lut6_2_o5 "gnum_interface_block.dma_dat_o_9_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001679")) ) - (instance (rename gnum_interface_block_dma_dat_o_5_lut6_2_o6 "gnum_interface_block.dma_dat_o_5_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001680")) ) - (instance (rename gnum_interface_block_dma_dat_o_5_lut6_2_o5 "gnum_interface_block.dma_dat_o_5_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h20")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001680")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o6_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h10")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001681")) ) - (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o5_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hE0")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001681")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_30_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001682")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_30_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001682")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress_RNID85C1_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001683")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress_RNID85C1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h04000000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001683")) ) - (instance (rename cmp_tdc_tdc_core_m1_lut6_2_o6 "cmp_tdc.tdc_core.m1_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h8000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001684")) ) - (instance (rename cmp_tdc_tdc_core_m1_lut6_2_o5 "cmp_tdc.tdc_core.m1_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h8000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001684")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_29_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001685")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.0.slave_logic.slave_matrix_or.31.result_29_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h57FF")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001685")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'hDF")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001686")) ) - (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h44F4")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001686")) ) - (instance (rename cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o6 "cmp_carrier_csr.rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001687")) ) - (instance (rename cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o5 "cmp_carrier_csr.rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001687")) ) - (instance (rename cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0 "cmp_dma_eic.un2_wb_cyc_i_0_a2_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001688")) ) - (instance (rename cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0 "cmp_dma_eic.un2_wb_cyc_i_0_a2_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h72222222")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001688")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001689")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_28_1_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001689")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_26_1_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001690")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_26_1_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001690")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o6[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001691")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_27_1_lut6_2_o5[5]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001691")) ) - (instance (rename cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0 "cmp_carrier_csr.un2_wb_cyc_i_0_a2_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h4000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001692")) ) - (instance (rename cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0 "cmp_carrier_csr.un2_wb_cyc_i_0_a2_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h74444444")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001692")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_28_0_a2_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001693")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_28_0_a2_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001693")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_30_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001694")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.3.slave_logic.slave_matrix_or.31.result_30_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0800")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001694")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI1U3M1_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001695")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI1U3M1_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001695")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_1_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h0001")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001696")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o5_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_1_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFFFFFE")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001696")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o6_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h8F0F")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001697")) ) - (instance (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h80000000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001697")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI3U3M1_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_6_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001698")) ) - (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI3U3M1_o5[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_6_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hC0C0A000")) (property HLUTNM (string "top_tdc_lutnm001698")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o6_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n_RNIURA31_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h80")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001699")) ) - (instance (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o5_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n_RNIURA31_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hACCCCCCC")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001699")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h8000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001700")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_0_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h80000000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001700")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_5_i_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hD555")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001701")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_5_i_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hD555")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001701")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_5_i_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hD555")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001702")) ) - (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9_5_i_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hD555")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001702")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o6_0 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_lm_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'h50535353")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001703")) ) - (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o5_0 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_lm_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h2000")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001703")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o6_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) - (property INIT (string "32'hFFFF8AAA")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001704")) ) - (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o5_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'hFF15")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001704")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o6_3 "cmp_vic.U_Wrapped_VIC.state_srsts_0_i_i_a2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001705")) ) - (instance (rename cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o5_3 "cmp_vic.U_Wrapped_VIC.state_srsts_0_i_i_a2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) - (property INIT (string "16'h30AA")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001705")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h35")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001706")) ) - (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) - (property INIT (string "8'h6C")) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) (property HLUTNM (string "top_tdc_lutnm001706")) ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001707")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001707")) + ) + (instance (rename gnum_interface_block_dma_ctrl_done_lut6_2_o6 "gnum_interface_block.dma_ctrl_done_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hE")) + (property HLUTNM (string "top_tdc_lutnm001708")) + ) + (instance (rename gnum_interface_block_dma_ctrl_done_lut6_2_o5 "gnum_interface_block.dma_ctrl_done_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFEFF")) + (property HLUTNM (string "top_tdc_lutnm001708")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001709")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001709")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001710")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001710")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001711")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001711")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001712")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001712")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001713")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001713")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001714")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001714")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001715")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001715")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001716")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001716")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001717")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001717")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001718")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001718")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001719")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001719")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001720")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001720")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o6_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_2_lut6_2_o6[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001721")) + ) + (instance (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o5_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_2_lut6_2_o5[6]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001721")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress_RNIHTVD2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001722")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress_RNIHTVD2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) + (property HLUTNM (string "top_tdc_lutnm001722")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o6_21 "cmp_vic.U_Wrapped_VIC.vic_var_RNIJO0B1_o6[21]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) + (property HLUTNM (string "top_tdc_lutnm001723")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o5_21 "cmp_vic.U_Wrapped_VIC.vic_var_RNIJO0B1_o5[21]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20000000")) + (property HLUTNM (string "top_tdc_lutnm001723")) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2 "cmp_tdc_clks_rsts_mgment.config_st_RNILKN21_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001724")) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2 "cmp_tdc_clks_rsts_mgment.config_st_RNILKN21_o5[2]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hF11FFF11")) + (property HLUTNM (string "top_tdc_lutnm001724")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o6_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIS0QI2_o6[5]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001725")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o5_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_RNIS0QI2_o5[5]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) + (property HLUTNM (string "top_tdc_lutnm001725")) + ) + (instance (rename cmp_tdc_tdc_core_m1_lut6_2_o6 "cmp_tdc.tdc_core.m1_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + (property HLUTNM (string "top_tdc_lutnm001726")) + ) + (instance (rename cmp_tdc_tdc_core_m1_lut6_2_o5 "cmp_tdc.tdc_core.m1_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001726")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_5_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001727")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_5_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001727")) + ) + (instance (rename cmp_tdc_tdc_core_m40_0_lut6_2_o6 "cmp_tdc.tdc_core.m40_0_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h2000")) + (property HLUTNM (string "top_tdc_lutnm001728")) + ) + (instance (rename cmp_tdc_tdc_core_m40_0_lut6_2_o5 "cmp_tdc.tdc_core.m40_0_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001728")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_3_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001729")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_3_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hACCCCCCC")) + (property HLUTNM (string "top_tdc_lutnm001729")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001730")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_6_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFDFF")) + (property HLUTNM (string "top_tdc_lutnm001730")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_8_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001731")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_8_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + (property HLUTNM (string "top_tdc_lutnm001731")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o6 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.ackc_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001732")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o5 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.ackc_1_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000080")) + (property HLUTNM (string "top_tdc_lutnm001732")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o6 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) + (property HLUTNM (string "top_tdc_lutnm001733")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o5 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_1_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hD0D1D1D1")) + (property HLUTNM (string "top_tdc_lutnm001733")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o6 "cmp_tdc.tdc_core.interrupts_generator.irq_stc_2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'hB")) + (property HLUTNM (string "top_tdc_lutnm001734")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o5 "cmp_tdc.tdc_core.interrupts_generator.irq_stc_2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00001B0A")) + (property HLUTNM (string "top_tdc_lutnm001734")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o6_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h2")) + (property HLUTNM (string "top_tdc_lutnm001735")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFE0")) + (property HLUTNM (string "top_tdc_lutnm001735")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0_1_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) + (property HLUTNM (string "top_tdc_lutnm001736")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0_1_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) + (property HLUTNM (string "top_tdc_lutnm001736")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1_lut6_2_o6 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_1_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001737")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1_lut6_2_o5 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_1_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001737")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2_lut6_2_o6 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_2_lut6_2_o6") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001738")) + ) + (instance (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2_lut6_2_o5 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_2_lut6_2_o5") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h1")) + (property HLUTNM (string "top_tdc_lutnm001738")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o6_1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNICCA26_o6[1]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h4")) + (property HLUTNM (string "top_tdc_lutnm001739")) + ) + (instance (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o5_1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_RNICCA26_o5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFBFFFFFF")) + (property HLUTNM (string "top_tdc_lutnm001739")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + (property HLUTNM (string "top_tdc_lutnm001740")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFFFE")) + (property HLUTNM (string "top_tdc_lutnm001740")) + ) + (instance (rename gnum_interface_block_dma_ctrl_error_lut6_2_o6 "gnum_interface_block.dma_ctrl_error_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hFE")) + (property HLUTNM (string "top_tdc_lutnm001741")) + ) + (instance (rename gnum_interface_block_dma_ctrl_error_lut6_2_o5 "gnum_interface_block.dma_ctrl_error_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + (property HLUTNM (string "top_tdc_lutnm001741")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFD")) + (property HLUTNM (string "top_tdc_lutnm001742")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000020")) + (property HLUTNM (string "top_tdc_lutnm001742")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o6_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000200")) + (property HLUTNM (string "top_tdc_lutnm001743")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o5_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0004")) + (property HLUTNM (string "top_tdc_lutnm001743")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_RNI89MB2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFEA")) + (property HLUTNM (string "top_tdc_lutnm001744")) + ) + (instance (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_RNI89MB2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFF20")) + (property HLUTNM (string "top_tdc_lutnm001744")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_0_a2_i_o2_RNIA4D58_o6") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000004")) + (property HLUTNM (string "top_tdc_lutnm001745")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27_0_a2_i_o2_RNIA4D58_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + (property HLUTNM (string "top_tdc_lutnm001745")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o6_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_dince_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT2 (libraryRef VIRTEX))) + (property INIT (string "4'h8")) + (property HLUTNM (string "top_tdc_lutnm001746")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o5_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_dince_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hE000")) + (property HLUTNM (string "top_tdc_lutnm001746")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_0_a2_i_o2_RNIN99C6_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFFE")) + (property HLUTNM (string "top_tdc_lutnm001747")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o5 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25_0_a2_i_o2_RNIN99C6_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0004")) + (property HLUTNM (string "top_tdc_lutnm001747")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o6_0 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIGAKD8_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0020")) + (property HLUTNM (string "top_tdc_lutnm001748")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o5_0 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIGAKD8_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0200")) + (property HLUTNM (string "top_tdc_lutnm001748")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o6_25 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIRP3U7_o6[25]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0020")) + (property HLUTNM (string "top_tdc_lutnm001749")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o5_25 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIRP3U7_o5[25]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0200")) + (property HLUTNM (string "top_tdc_lutnm001749")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o6_20 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIHH2U7_o6[20]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0020")) + (property HLUTNM (string "top_tdc_lutnm001750")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o5_20 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIHH2U7_o5[20]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0200")) + (property HLUTNM (string "top_tdc_lutnm001750")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o6_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_10_lut6_2_o6[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000008")) + (property HLUTNM (string "top_tdc_lutnm001751")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o5_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_a2_10_lut6_2_o5[8]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000001")) + (property HLUTNM (string "top_tdc_lutnm001751")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.ld_5_iv_0_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0008")) + (property HLUTNM (string "top_tdc_lutnm001752")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.ld_5_iv_0_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0002")) + (property HLUTNM (string "top_tdc_lutnm001752")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_isda_oen_0_sqmuxa_0_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFFF4")) + (property HLUTNM (string "top_tdc_lutnm001753")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_isda_oen_0_sqmuxa_0_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hFFFFFF10")) + (property HLUTNM (string "top_tdc_lutnm001753")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_30_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001754")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_30_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h04")) + (property HLUTNM (string "top_tdc_lutnm001754")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o6 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8F0F")) + (property HLUTNM (string "top_tdc_lutnm001755")) + ) + (instance (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + (property HLUTNM (string "top_tdc_lutnm001755")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_o2_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hDF")) + (property HLUTNM (string "top_tdc_lutnm001756")) + ) + (instance (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_o2_lut6_2_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h44F4")) + (property HLUTNM (string "top_tdc_lutnm001756")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o6_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_5_lut6_2_o6[15]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0004")) + (property HLUTNM (string "top_tdc_lutnm001757")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o5_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_a2_5_lut6_2_o5[15]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0001")) + (property HLUTNM (string "top_tdc_lutnm001757")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o6_10 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIB9R11_o6[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h1000")) + (property HLUTNM (string "top_tdc_lutnm001758")) + ) + (instance (rename cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o5_10 "cmp_tdc.tdc_core.reg_control_block.acam_config_3_RNIB9R11_o5[10]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h4000")) + (property HLUTNM (string "top_tdc_lutnm001758")) + ) + (instance (rename cmp_carrier_csr_ack_sreg_RNIJT841_o6_0 "cmp_carrier_csr.ack_sreg_RNIJT841_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h4000")) + (property HLUTNM (string "top_tdc_lutnm001759")) + ) + (instance (rename cmp_carrier_csr_ack_sreg_RNIJT841_o5_0 "cmp_carrier_csr.ack_sreg_RNIJT841_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h74444444")) + (property HLUTNM (string "top_tdc_lutnm001759")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.host_ack_RNIKB202_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) + (property HLUTNM (string "top_tdc_lutnm001760")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.host_ack_RNIKB202_o5") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hF0F1")) + (property HLUTNM (string "top_tdc_lutnm001760")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_3 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h02")) + (property HLUTNM (string "top_tdc_lutnm001761")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_3 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h57")) + (property HLUTNM (string "top_tdc_lutnm001761")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o6 "gnum_interface_block.cmp_wbmaster32.wb_stb_t_RNIGIAE1_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001762")) + ) + (instance (rename gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o5 "gnum_interface_block.cmp_wbmaster32.wb_stb_t_RNIGIAE1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h20000000")) + (property HLUTNM (string "top_tdc_lutnm001762")) + ) + (instance (rename cmp_dma_eic_ack_in_progress_RNIF7OM1_o6 "cmp_dma_eic.ack_in_progress_RNIF7OM1_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001763")) + ) + (instance (rename cmp_dma_eic_ack_in_progress_RNIF7OM1_o5 "cmp_dma_eic.ack_in_progress_RNIF7OM1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h72222222")) + (property HLUTNM (string "top_tdc_lutnm001763")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_30_1_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001764")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_30_1_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001764")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o6_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_RNIV6HM1_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h01")) + (property HLUTNM (string "top_tdc_lutnm001765")) + ) + (instance (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o5_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_RNIV6HM1_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00010000")) + (property HLUTNM (string "top_tdc_lutnm001765")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o6_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI8U3M1_o6[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001766")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o5_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI8U3M1_o5[6]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001766")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIAU3M1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001767")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNIAU3M1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001767")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o6_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0_2_lut6_2_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hA8")) + (property HLUTNM (string "top_tdc_lutnm001768")) + ) + (instance (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o5_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0_2_lut6_2_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h0004")) + (property HLUTNM (string "top_tdc_lutnm001768")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_28_lut6_2_o6[4]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001769")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.31.result_28_lut6_2_o5[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hCAAA")) + (property HLUTNM (string "top_tdc_lutnm001769")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNISBPF1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001770")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNISBPF1_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001770")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un1085_tmp_2_RNI0QGB1_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001771")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un1085_tmp_2_RNI0QGB1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00000080")) + (property HLUTNM (string "top_tdc_lutnm001771")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIOJO81_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001772")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIOJO81_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + (property HLUTNM (string "top_tdc_lutnm001772")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNII6PO1_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001773")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNII6PO1_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001773")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIQJO81_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001774")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIQJO81_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + (property HLUTNM (string "top_tdc_lutnm001774")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIPJO81_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + (property HLUTNM (string "top_tdc_lutnm001775")) + ) + (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_o_RNIPJO81_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h80000000")) + (property HLUTNM (string "top_tdc_lutnm001775")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o6_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI81CH1_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001776")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_RNI81CH1_o5[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h40")) + (property HLUTNM (string "top_tdc_lutnm001776")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o6 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'hE0")) + (property HLUTNM (string "top_tdc_lutnm001777")) + ) + (instance (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o5 "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h10")) + (property HLUTNM (string "top_tdc_lutnm001777")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o6 "cmp_tdc.cmp_tdc_eic.eic_isr_write_int_RNI5J171_o6") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001778")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o5 "cmp_tdc.cmp_tdc_eic.eic_isr_write_int_RNI5J171_o5") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001778")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_29_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001779")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.5.slave_logic.slave_matrix_or.31.result_29_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001779")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.result_2_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001780")) + ) + (instance (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_matrixs.4.slave_logic.slave_matrix_or.result_2_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h80")) + (property HLUTNM (string "top_tdc_lutnm001780")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4 "cmp_vic.U_Wrapped_VIC.state_RNI12KE1_o6[4]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'hAAAEFFFF")) + (property HLUTNM (string "top_tdc_lutnm001781")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4 "cmp_vic.U_Wrapped_VIC.state_RNI12KE1_o5[4]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hA800")) + (property HLUTNM (string "top_tdc_lutnm001781")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNIHS321_o6_2 "cmp_vic.U_Wrapped_VIC.state_RNIHS321_o6[2]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h08")) + (property HLUTNM (string "top_tdc_lutnm001782")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_state_RNIHS321_o5_2 "cmp_vic.U_Wrapped_VIC.state_RNIHS321_o5[2]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hBA00")) + (property HLUTNM (string "top_tdc_lutnm001782")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o6_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_sreg_RNI0DHH1_o6[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h4000")) + (property HLUTNM (string "top_tdc_lutnm001783")) + ) + (instance (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o5_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_sreg_RNI0DHH1_o5[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h74444444")) + (property HLUTNM (string "top_tdc_lutnm001783")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o6 "cmp_tdc.cmp_tdc_eic.ack_in_progress_RNI1ULL1_o6") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h8000")) + (property HLUTNM (string "top_tdc_lutnm001784")) + ) + (instance (rename cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o5 "cmp_tdc.cmp_tdc_eic.ack_in_progress_RNI1ULL1_o5") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h40000000")) + (property HLUTNM (string "top_tdc_lutnm001784")) + ) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o6_0 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_lm_0_lut6_2_o6[0]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h50535353")) + (property HLUTNM (string "top_tdc_lutnm001785")) + ) + (instance (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o5_0 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_lm_0_lut6_2_o5[0]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'h2000")) + (property HLUTNM (string "top_tdc_lutnm001785")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o6_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1_lut6_2_o6[16]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) + (property INIT (string "32'h00008AAA")) + (property HLUTNM (string "top_tdc_lutnm001786")) + ) + (instance (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o5_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_0_1_lut6_2_o5[16]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) + (property INIT (string "16'hFF15")) + (property HLUTNM (string "top_tdc_lutnm001786")) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_o6[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h35")) + (property HLUTNM (string "top_tdc_lutnm001787")) + ) + (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_3 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_o5[3]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) + (property INIT (string "8'h6C")) + (property HLUTNM (string "top_tdc_lutnm001787")) + ) (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_1 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT3 (libraryRef VIRTEX))) (property INIT (string "8'h80")) - (property HLUTNM (string "top_tdc_lutnm001707")) + (property HLUTNM (string "top_tdc_lutnm001788")) ) (instance (rename cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1 "cmp_tdc_clks_rsts_mgment.config_st_srsts_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT5 (libraryRef VIRTEXR))) (property INIT (string "32'hEA2AAAAA")) - (property HLUTNM (string "top_tdc_lutnm001707")) + (property HLUTNM (string "top_tdc_lutnm001788")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.fSCL_3_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFACC")) - (property HLUTNM (string "top_tdc_lutnm001708")) + (property HLUTNM (string "top_tdc_lutnm001789")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.fSCL_3_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFACC")) - (property HLUTNM (string "top_tdc_lutnm001708")) + (property HLUTNM (string "top_tdc_lutnm001789")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.fSDA_2_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFACC")) - (property HLUTNM (string "top_tdc_lutnm001709")) + (property HLUTNM (string "top_tdc_lutnm001790")) ) (instance (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o5_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.fSDA_2_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'hFACC")) - (property HLUTNM (string "top_tdc_lutnm001709")) + (property HLUTNM (string "top_tdc_lutnm001790")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_Pulse_stretcher_incr_counting_counter_5_e_lut6_2_o6_1 "cmp_tdc.tdc_core.reg_control_block.Pulse_stretcher.incr_counting.counter_5_e_lut6_2_o6[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h00DA")) - (property HLUTNM (string "top_tdc_lutnm001710")) + (property HLUTNM (string "top_tdc_lutnm001791")) ) (instance (rename cmp_tdc_tdc_core_reg_control_block_Pulse_stretcher_incr_counting_counter_5_e_lut6_2_o5_1 "cmp_tdc.tdc_core.reg_control_block.Pulse_stretcher.incr_counting.counter_5_e_lut6_2_o5[1]") (viewRef PRIM (cellRef LUT4 (libraryRef VIRTEX))) (property INIT (string "16'h008F")) - (property HLUTNM (string "top_tdc_lutnm001710")) + (property HLUTNM (string "top_tdc_lutnm001791")) ) (net p2l_clk_p_i (joined (portRef p2l_clk_p_i) @@ -34479,228 +33159,181 @@ (portRef spec_clk_i) (portRef I (instanceRef svec_clk_ibuf)) )) - (net (rename un1_cmp_sdb_crossbar_1_115 "un1_cmp_sdb_crossbar_1(115)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) - )) - (net (rename un1_cmp_sdb_crossbar_1_116 "un1_cmp_sdb_crossbar_1(116)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) - )) - (net (rename un1_cmp_sdb_crossbar_1_119 "un1_cmp_sdb_crossbar_1(119)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) - )) - (net (rename un1_cmp_sdb_crossbar_1_120 "un1_cmp_sdb_crossbar_1(120)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) - )) - (net (rename un1_cmp_sdb_crossbar_1_125 "un1_cmp_sdb_crossbar_1(125)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) - )) - (net (rename un1_cmp_sdb_crossbar_1_126 "un1_cmp_sdb_crossbar_1(126)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) - )) - (net (rename un1_cmp_sdb_crossbar_1_127 "un1_cmp_sdb_crossbar_1(127)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) - )) - (net (rename un1_cmp_sdb_crossbar_1_129 "un1_cmp_sdb_crossbar_1(129)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) - )) - (net (rename un1_cmp_sdb_crossbar_1_130 "un1_cmp_sdb_crossbar_1(130)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) - )) - (net (rename un1_cmp_sdb_crossbar_1_131 "un1_cmp_sdb_crossbar_1(131)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) - )) - (net (rename un1_cmp_sdb_crossbar_1_134 "un1_cmp_sdb_crossbar_1(134)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) - )) - (net (rename un1_cmp_sdb_crossbar_1_135 "un1_cmp_sdb_crossbar_1(135)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) - )) - (net (rename un1_cmp_sdb_crossbar_1_136 "un1_cmp_sdb_crossbar_1(136)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) - )) - (net (rename un1_cmp_sdb_crossbar_1_138 "un1_cmp_sdb_crossbar_1(138)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) - )) - (net (rename un1_cmp_sdb_crossbar_1_139 "un1_cmp_sdb_crossbar_1(139)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) + (net (rename un1_cmp_sdb_crossbar_1_110 "un1_cmp_sdb_crossbar_1(110)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o6_22)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) )) - (net (rename un1_cmp_sdb_crossbar_1_140 "un1_cmp_sdb_crossbar_1(140)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) + (net (rename un1_cmp_sdb_crossbar_1_111 "un1_cmp_sdb_crossbar_1(111)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o6_13)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) )) - (net (rename un1_cmp_sdb_crossbar_1_141 "un1_cmp_sdb_crossbar_1(141)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) + (net (rename un1_cmp_sdb_crossbar_1_112 "un1_cmp_sdb_crossbar_1(112)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o6_29)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) )) (net (rename un1_cmp_sdb_crossbar_1_217 "un1_cmp_sdb_crossbar_1(217)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o6_1)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) (portRef ADDRB_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) )) (net (rename un1_cmp_sdb_crossbar_1_218 "un1_cmp_sdb_crossbar_1(218)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o6_2)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o5_0)) (portRef ADDRB_6 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_219 "un1_cmp_sdb_crossbar_1(219)") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o6_18)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o5_0)) (portRef ADDRB_7 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) )) (net (rename un1_cmp_sdb_crossbar_1_220 "un1_cmp_sdb_crossbar_1(220)") (joined (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) (portRef ADDRB_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) - (net (rename un1_cmp_sdb_crossbar_1_221 "un1_cmp_sdb_crossbar_1(221)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o6_6)) - (portRef ADDRB_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - )) (net (rename un1_cmp_sdb_crossbar_1_252 "un1_cmp_sdb_crossbar_1(252)") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o6)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o6_23)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_enable_int)) (portRef DIB_0 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_253 "un1_cmp_sdb_crossbar_1(253)") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o6)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o5_17)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_pol_int)) (portRef DIB_1 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_254 "un1_cmp_sdb_crossbar_1(254)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o5_2)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o6_29)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_edge_int)) (portRef DIB_2 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_255 "un1_cmp_sdb_crossbar_1(255)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o6_26)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_0)) (portRef DIB_3 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_256 "un1_cmp_sdb_crossbar_1(256)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o6_19)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_1)) (portRef DIB_4 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_257 "un1_cmp_sdb_crossbar_1(257)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o6_20)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_2)) (portRef DIB_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_258 "un1_cmp_sdb_crossbar_1(258)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o5_15)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_3)) (portRef DIB_6 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_259 "un1_cmp_sdb_crossbar_1(259)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o6_27)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_4)) (portRef DIB_7 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) + (net (rename un1_cmp_sdb_crossbar_1_260 "un1_cmp_sdb_crossbar_1(260)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o6_21)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_5)) + (portRef DIB_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + )) (net (rename un1_cmp_sdb_crossbar_1_261 "un1_cmp_sdb_crossbar_1(261)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o6_22)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_6)) (portRef DIB_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_262 "un1_cmp_sdb_crossbar_1(262)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o6_21)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_7)) (portRef DIB_10 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_263 "un1_cmp_sdb_crossbar_1(263)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_25)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_8)) (portRef DIB_11 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) + (net (rename un1_cmp_sdb_crossbar_1_264 "un1_cmp_sdb_crossbar_1(264)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o5_12)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_9)) + (portRef DIB_12 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + )) (net (rename un1_cmp_sdb_crossbar_1_265 "un1_cmp_sdb_crossbar_1(265)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o6_13)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_10)) (portRef DIB_13 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) + (net (rename un1_cmp_sdb_crossbar_1_266 "un1_cmp_sdb_crossbar_1(266)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o6_12)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_11)) + (portRef DIB_14 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + )) (net (rename un1_cmp_sdb_crossbar_1_267 "un1_cmp_sdb_crossbar_1(267)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o6_15)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_12)) (portRef DIB_15 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_268 "un1_cmp_sdb_crossbar_1(268)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o6_27)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_13)) (portRef DIB_16 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_269 "un1_cmp_sdb_crossbar_1(269)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o6_17)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_14)) (portRef DIB_17 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_270 "un1_cmp_sdb_crossbar_1(270)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o5_13)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_15)) (portRef DIB_18 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_271 "un1_cmp_sdb_crossbar_1(271)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_22)) (portRef DIB_19 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_272 "un1_cmp_sdb_crossbar_1(272)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o5_20)) (portRef DIB_20 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_273 "un1_cmp_sdb_crossbar_1(273)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o5_6)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o5_21)) (portRef DIB_21 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_274 "un1_cmp_sdb_crossbar_1(274)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_22)) (portRef DIB_22 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_275 "un1_cmp_sdb_crossbar_1(275)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o5_23)) (portRef DIB_23 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_276 "un1_cmp_sdb_crossbar_1(276)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o6_20)) (portRef DIB_24 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_277 "un1_cmp_sdb_crossbar_1(277)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_25)) (portRef DIB_25 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_278 "un1_cmp_sdb_crossbar_1(278)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o5_26)) (portRef DIB_26 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_279 "un1_cmp_sdb_crossbar_1(279)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o5_27)) (portRef DIB_27 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_280 "un1_cmp_sdb_crossbar_1(280)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o5_28)) (portRef DIB_28 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_281 "un1_cmp_sdb_crossbar_1(281)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o6_28)) (portRef DIB_29 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_282 "un1_cmp_sdb_crossbar_1(282)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o5_4)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o6_23)) (portRef DIB_30 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net (rename un1_cmp_sdb_crossbar_1_283 "un1_cmp_sdb_crossbar_1(283)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o5_31)) (portRef DIB_31 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) (net clk_20m_vcxo_buf (joined @@ -34711,8 +33344,8 @@ (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_config_st_3)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_config_st_4)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_config_st_1)) - (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_6)) + (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_rst)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_0)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_1)) @@ -34805,7 +33438,7 @@ )) (net (rename dac_word_0 "dac_word(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_0)) )) (net (rename dac_word_1 "dac_word(1)") (joined @@ -34845,7 +33478,7 @@ )) (net (rename dac_word_8 "dac_word(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o6_8)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_8)) )) (net (rename dac_word_9 "dac_word(9)") (joined @@ -34855,17 +33488,17 @@ )) (net (rename dac_word_10 "dac_word(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_10)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_10)) )) (net (rename dac_word_11 "dac_word(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_11)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_11)) )) (net (rename dac_word_12 "dac_word(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_12)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_12)) )) (net (rename dac_word_13 "dac_word(13)") (joined @@ -34885,7 +33518,7 @@ )) (net (rename dac_word_16 "dac_word(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_16)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_16)) )) (net (rename dac_word_17 "dac_word(17)") (joined @@ -34895,17 +33528,17 @@ )) (net (rename dac_word_18 "dac_word(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_18)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_18)) )) (net (rename dac_word_19 "dac_word(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_RNIK1QL1_19)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_19)) )) (net (rename dac_word_20 "dac_word(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_20)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_20)) )) (net (rename dac_word_21 "dac_word(21)") (joined @@ -34920,7 +33553,7 @@ )) (net (rename dac_word_23 "dac_word(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_23)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_23)) )) (net clk_125m (joined @@ -34950,18 +33583,10 @@ (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_8)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_10)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_11)) + (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_2)) (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_5)) - (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_2)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_1)) - (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_0)) - (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_2)) - (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_1)) - (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_0)) + (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_7)) - (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6)) - (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5)) - (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_7)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_6)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_5)) @@ -34977,8 +33602,6 @@ (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_2)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_1)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_0)) - (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31)) - (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_7)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_6)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_4)) @@ -34997,6 +33620,7 @@ (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw)) + (portRef C (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_Pulse_stretcher_counter_2)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_Pulse_stretcher_counter_1)) (portRef C (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_1)) @@ -35007,59 +33631,59 @@ (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_6)) (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_state_4)) (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_9)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3)) - (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_6)) (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_state_3)) (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_5)) + (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_2)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_is_zero_o)) - (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0)) - (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1)) - (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3)) (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_4)) + (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3)) + (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1)) + (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0)) (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_state_1)) - (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) - (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_8)) (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_7)) - (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_4)) (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_10)) - (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_3)) + (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_8)) + (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_6)) (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_5)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0)) + (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_3)) + (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_4)) (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_2)) (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_1)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_9)) (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_0)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_29)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_28)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_27)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_26)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_25)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_8)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_7)) - (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_6)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_5)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_6)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_7)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_8)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4)) + (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0_1)) (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_state_0)) (portRef C (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0)) @@ -35113,6 +33737,11 @@ (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_9)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_10)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_11)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_12)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_13)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_14)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_15)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_16)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wb_we_t)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_0)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_1)) @@ -35440,63 +34069,9 @@ (portRef C (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_1)) (portRef C (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_2)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_cyc_t)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_0)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_1)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_2)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_3)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_4)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_5)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_6)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_7)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_8)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_9)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_valid)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_sel_o_1_0)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_cyc_t)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_0)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_1)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_2)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_3)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_4)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_5)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_6)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_7)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_8)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_9)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_10)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_11)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_12)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_13)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_14)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_15)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_16)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_17)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_18)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_19)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_20)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_21)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_22)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_23)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_24)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_25)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_26)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_27)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_28)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_29)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_30)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_31)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_0)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_1)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_2)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_3)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_4)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_5)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_6)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_7)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_8)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_9)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_valid)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_sel_o_1_0)) (portRef C (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_0)) (portRef C (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_1)) (portRef C (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_1)) @@ -35611,7 +34186,6 @@ (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) - (portRef C (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk)) @@ -35672,6 +34246,9 @@ (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_3)) + (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4)) + (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5)) + (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_0)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_1)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_2)) @@ -35685,7 +34262,6 @@ (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_10)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_11)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_12)) - (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_14)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_15)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_0)) @@ -35782,19 +34358,18 @@ (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int)) (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int)) (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int)) + (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_0)) + (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_1)) + (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_2)) (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress)) (portRef C (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_0)) (portRef C (instanceRef cmp_tdc_tdc_core_acam_data_block_cs_n_o)) (portRef C (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o)) + (portRef C (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_0)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig3_o)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig4_o)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_17)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_18)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_19)) @@ -35959,6 +34534,35 @@ (portRef C (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) (portRef C (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_270)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_269)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_268)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_267)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_266)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_265)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_264)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_263)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_262)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_261)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_259)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_258)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_257)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_256)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_255)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_254)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_253)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_252)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_251)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_250)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_248)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_247)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_246)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_245)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_244)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_243)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_242)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_241)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_240)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) @@ -35967,7 +34571,7 @@ (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_230)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_229)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) @@ -35975,23 +34579,23 @@ (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_221)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_219)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_212)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_210)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_203)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_202)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) @@ -36026,42 +34630,43 @@ (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_162)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_163)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_156)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_154)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_149)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_146)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_142)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_138)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_135)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_130)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_128)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_122)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_121)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) @@ -36074,42 +34679,43 @@ (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_106)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_107)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_100)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_98)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_93)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_90)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_86)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_82)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_79)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_74)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_72)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_66)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_65)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_63)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) @@ -36122,42 +34728,43 @@ (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_50)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_51)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_44)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_42)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_37)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_34)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_30)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_26)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_23)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_18)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_16)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_14)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) - (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_10)) + (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_9)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_7)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_6)) @@ -36176,134 +34783,6 @@ (portRef C (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_3)) (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_1)) (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_0)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_0)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_1)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_2)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_3)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_4)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_5)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_6)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_7)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_8)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_9)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_10)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_11)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_12)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_13)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_14)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_15)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_16)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_17)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_18)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_19)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_20)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_21)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_22)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_23)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_24)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_25)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_26)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_27)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_28)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_29)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_30)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_31)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_0)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_1)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_2)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_3)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_4)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_5)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_6)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_7)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_8)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_9)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_10)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_11)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_12)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_13)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_14)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_15)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_16)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_17)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_18)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_19)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_20)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_21)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_22)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_23)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_24)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_25)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_26)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_27)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_28)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_29)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_30)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_31)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_0)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_1)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_2)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_3)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_4)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_5)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_6)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_7)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_8)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_9)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_10)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_11)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_12)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_13)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_14)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_15)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_16)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_17)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_18)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_19)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_20)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_21)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_22)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_23)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_24)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_25)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_26)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_27)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_28)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_29)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_30)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_31)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_0)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_1)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_2)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_3)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_4)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_5)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_6)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_7)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_8)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_9)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_10)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_11)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_12)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_13)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_14)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_15)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_16)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_17)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_18)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_19)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_20)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_21)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_22)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_23)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_24)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_25)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_26)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_27)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_28)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_29)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_30)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_31)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) @@ -36478,6 +34957,7 @@ (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_29)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_30)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_31)) + (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_0)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_rep0)) (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_rep0)) @@ -36487,24 +34967,28 @@ (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_3)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_2)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_1)) - (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_2)) - (portRef C (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o)) - (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o)) - (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0)) (portRef CLKB (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) (portRef CLKA (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) (portRef CLKA (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef CLKA (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_status_o_oreg)) - (portRef C (instanceRef cmp_vic_U_Wrapped_VIC_current_irqcst_4)) (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_1)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_2)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6)) + (portRef C (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7)) (portRef C (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o)) (portRef C (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_0)) (portRef C (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p)) - (portRef C (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_0)) (portRef C (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_1)) (portRef C (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o)) (portRef C (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o)) + (portRef C (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o)) + (portRef C (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_31)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_30)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_29)) @@ -36783,10 +35267,12 @@ (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1)) (portRef C (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_0)) (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_7)) + (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_4)) (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_3)) (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_1)) (portRef C (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_0)) + (portRef C (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_6)) (portRef C (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5)) (portRef C (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_4)) (portRef C (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_3)) @@ -36818,6 +35304,7 @@ (portRef C (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_9)) (portRef C (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_8)) (portRef C (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5)) + (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_30)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_29)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_28)) @@ -36833,6 +35320,7 @@ (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_18)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_17)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_16)) + (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_14)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_13)) (portRef C (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_12)) @@ -38204,33 +36692,39 @@ (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o5_16)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o6_16)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o6_8)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o5_17)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o6_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o5_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o6_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o6)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o5_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o5_1)) (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o5_0)) (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o5_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o6_10)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o5)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o6)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o6)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_3)) (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_4)) (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_5)) @@ -38255,20 +36749,15 @@ (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_8)) (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_10)) (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_11)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_0)) (portRef R (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_16)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_7)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1_a2_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_5)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_7)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_7)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_data_block_ef2_synch_1)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_data_block_ef1_synch_1)) @@ -38277,59 +36766,59 @@ (portRef S (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_6)) (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_state_4)) (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_9)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3)) - (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_6)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_state_3)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_5)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_2)) (portRef R (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_is_zero_o)) - (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0)) - (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1)) - (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_4)) + (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3)) + (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1)) + (portRef R (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_state_1)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) - (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_8)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_7)) - (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_4)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_10)) - (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_3)) + (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_8)) + (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_6)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_5)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0)) + (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_3)) + (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_4)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_2)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_1)) (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_9)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_0)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_29)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_28)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_27)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_26)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_25)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_8)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_7)) - (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_6)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_5)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_6)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_7)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_8)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4)) + (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0)) (portRef I (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_RNI27F3_1)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_cs_n_o)) @@ -38338,114 +36827,113 @@ (portRef R (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_1)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_0)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0)) (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_i)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1_sqmuxa_i_i_a2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIKTS91)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy_RNO)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_un1_rst)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusy_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0_RNI5ACU)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1310_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1187_m2)) (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1325_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1218_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_status_o_oreg)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_current_irqcst_4)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_current_irqcst_4)) (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg)) (portRef R (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o)) (portRef R (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_0)) (portRef R (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p)) - (portRef R (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_0)) (portRef R (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_1)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o)) @@ -38545,6 +37033,7 @@ (portRef R (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_2)) (portRef R (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1)) (portRef R (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_0)) + (portRef R (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_6)) (portRef R (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5)) (portRef R (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_4)) (portRef R (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_3)) @@ -39896,1119 +38385,1225 @@ )) (net (rename cnx_slave_in_0_cyc "cnx_slave_in_0.cyc") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t)) - (portRef I3 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I4 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o6)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o5_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o5_2)) + (portRef I4 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o5)) + (portRef I2 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o6)) + (portRef I3 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o5_0)) + (portRef I2 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o6)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o5)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o6)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o5_1)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o5_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o5_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3)) + (portRef I3 (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o6_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o5_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o6_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_21_5)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_9_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNO)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_bus_wen)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1)) (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_0)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_r)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_r_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0_a2_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_un92_request)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) )) (net (rename cnx_slave_in_0_stb "cnx_slave_in_0.stb") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t)) - (portRef I2 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o5)) - (portRef I0 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o6)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o5_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o6)) + (portRef I3 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o5)) + (portRef I1 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o6)) + (portRef I2 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o5_0)) + (portRef I1 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o6)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o5_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) + (portRef I2 (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o6_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_21_5)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_9_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNO)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_bus_wen)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1)) (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_0)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_r)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_r_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0_a2_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_un92_request)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) )) (net (rename gn_wb_adr_0 "gn_wb_adr(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o5_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o6_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o6)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o5_29)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o6_29)) - (portRef I3 (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o6_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o5)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o6_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o6_7)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o5_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o6_5)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o5_18)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o5)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o5_21)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o6_21)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o5)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o5_5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o6_5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o5_1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o6_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o5_29)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o5_26)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o5)) (portRef I3 (instanceRef cmp_dma_eic_rddata_reg_96_0)) (portRef I3 (instanceRef cmp_dma_eic_rddata_reg_96_1)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_2)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_11)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_17)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_16)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_15)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_14)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_13)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_12)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_10)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_9)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_8)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_6)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_4)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_3)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_5)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_2)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_0_2)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_7)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) - (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIKEGC1_1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIJEGC1_0)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_973_m2)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5)) - (portRef I4 (instanceRef cmp_carrier_csr_ack_in_progress_RNIUVU81)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_30)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_23)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_25)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_26)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_29)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_31)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_1)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxa_2)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_22)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_24)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_27)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_28)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_2)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_19)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_20)) + (portRef I4 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31_0)) + (portRef I4 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_850_m2)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_18)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_17)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_16)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_15)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_14)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_13)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_12)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_11)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_10)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_9)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_8)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_7)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_6)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_5)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_4)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_3)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_2)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) )) (net (rename gn_wb_adr_1 "gn_wb_adr(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o5_29)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o6_29)) - (portRef I1 (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o6_7)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o5_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o5_6)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o6_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o5_22)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o6_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o6_4)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_1_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o5_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o5_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o5_21)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o6_21)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o5)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o6)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o5_2)) + (portRef I3 (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o5_7)) + (portRef I1 (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o6_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o6_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIBJKR_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIBJKR_o6_14)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o5_26)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31)) - (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_22_2)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30)) - (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) - (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI3OPJ1_3)) - (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_RNO_7)) - (portRef I1 (instanceRef cmp_carrier_csr_ack_in_progress_RNIUVU81)) + (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5)) + (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_30)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_23)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_25)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_26)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_29)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_31)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxa_2)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_22)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_24)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_27)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_28)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_19)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_20)) + (portRef I2 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31_0)) + (portRef I2 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) )) (net (rename gn_wb_adr_2 "gn_wb_adr(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o5_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o5_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o5_11)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o5_18)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o6_18)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o5_22)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o5_1)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o6_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o6_4)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o5_21)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o6_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o6_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o5_26)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o5_1)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o5_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o6_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o5_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_0)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_5)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_11)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_17)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_16)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_15)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_14)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_13)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_12)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_10)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_9)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_8)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_6)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_4)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_3)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_0_2)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI3OPJ1_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_30)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_23)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_25)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_26)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_29)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_31)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxa_2)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_22)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_24)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_27)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_28)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_19)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_20)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_18)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_17)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_16)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_15)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_14)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_13)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_12)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_11)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_10)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_9)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_8)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_7)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_6)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_5)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_4)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_3)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_2)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) )) (net (rename gn_wb_adr_3 "gn_wb_adr(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o5_1)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o6_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_RNI88KA1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI3OPJ1_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0_a2_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp)) )) (net (rename gn_wb_adr_4 "gn_wb_adr(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o6_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o5)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o6_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o5_4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_m29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o5_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o6_31)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o5_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1)) )) (net (rename gn_wb_adr_5 "gn_wb_adr(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_5)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o5)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o6_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o6_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o5_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o6_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o5_19)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o5_20)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o5_21)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o5_22)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o5_23)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o5_27)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o5_29)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1)) (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_3)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) )) (net (rename gn_wb_adr_6 "gn_wb_adr(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o5_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o6_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o5_10)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o6_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o6_22)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_1_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_m4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI3OPJ1_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_un2695_tmp_1)) )) (net (rename gn_wb_adr_7 "gn_wb_adr(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o5_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o6_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o5_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o6_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o5_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o5_7)) - (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_1_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_m4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIP6BG1_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI3OPJ1_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_un2695_tmp_1)) )) (net (rename gn_wb_adr_8 "gn_wb_adr(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o5_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o5_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o6_8)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIP6BG1_8)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o6_10)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_un2695_tmp_1)) )) (net (rename gn_wb_adr_9 "gn_wb_adr(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o5_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o5_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o6_8)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIP6BG1_8)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o6_11)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_un2695_tmp_1)) )) (net (rename gn_wb_adr_10 "gn_wb_adr(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o5_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o6_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o5_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o5_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o6_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIP6BG1_8)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2)) )) (net (rename gn_wb_adr_11 "gn_wb_adr(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o6_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o5_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o5_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2)) + )) + (net (rename gn_wb_adr_12 "gn_wb_adr(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o5_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2)) + )) + (net (rename gn_wb_adr_13 "gn_wb_adr(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o5_6)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2)) + )) + (net (rename gn_wb_adr_14 "gn_wb_adr(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIBJKR_o5_14)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) + )) + (net (rename gn_wb_adr_15 "gn_wb_adr(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_15)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_9_2)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0_0)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_r_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) + )) + (net (rename gn_wb_adr_16 "gn_wb_adr(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_16)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o5)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_9_2)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) )) (net (rename cnx_slave_in_0_we "cnx_slave_in_0.we") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_we_t)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o6)) - (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o6)) - (portRef I2 (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o5)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o5_5)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o6)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o6)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o6_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o6_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o5_2)) + (portRef I4 (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o5_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o5)) + (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_RNITNIJ_o6_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_m4)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_bus_wen)) - (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_2)) - (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) - (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_m29)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1035_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1036_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1034_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1033_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1044_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1046_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1047_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1048_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1038_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1039_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1043_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1045_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1042_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1037_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1040_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1041_m1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5)) - (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_RNO_7)) - (portRef I2 (instanceRef cmp_carrier_csr_ack_in_progress_RNIUVU81)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0)) + (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_2)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) + (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxa_2)) + (portRef I3 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31_0)) + (portRef I3 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_856_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_850_m2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_866_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_867_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_869_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_858_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_857_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_863_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_870_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_859_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_861_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_862_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_865_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_871_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_864_m1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_860_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_868_m1)) + (portRef I3 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) + (portRef I3 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) )) (net (rename cnx_slave_in_0_dat_0 "cnx_slave_in_0.dat(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o6_22)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o6_23)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o5_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o6_3)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_0)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNID24H1_3)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE24H1_4)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIG24H1_6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIF24H1_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0)) - (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI479G2_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI679G2_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI579G2_5)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIN7JS2_6)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIM7JS2_5)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIL7JS2_4)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0)) (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI593D2_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS83D2_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIT83D2_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIU83D2_6)) + (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIK7JS2_3)) )) (net (rename cnx_slave_in_0_dat_1 "cnx_slave_in_0.dat(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o6)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o6_25)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o6_11)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o6_19)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o5_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o6_13)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o5_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o6_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o5_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIQVTB1_1)) (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNID24H1_3)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE24H1_4)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIG24H1_6)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIF24H1_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIOVTB1_0)) - (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIN7JS2_6)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIM7JS2_5)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIL7JS2_4)) (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1)) + (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1)) (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIKEGC1_1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIJEGC1_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI593D2_3)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIFUSK2_0)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIGUSK2_1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIK7JS2_3)) )) (net (rename cnx_slave_in_0_dat_2 "cnx_slave_in_0.dat(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o6_1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o5_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o6_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o6_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o6_12)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o6_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o6_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o5_16)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o6_29)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIQVTB1_1)) (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNID24H1_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE24H1_4)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIG24H1_6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIF24H1_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIOVTB1_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI479G2_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI679G2_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI579G2_5)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2)) (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIKEGC1_1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIJEGC1_0)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIN7JS2_6)) (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI593D2_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS83D2_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIT83D2_5)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIU83D2_6)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIM7JS2_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIL7JS2_4)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPFHD_2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIFUSK2_0)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIGUSK2_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIK7JS2_3)) )) (net (rename cnx_slave_in_0_dat_3 "cnx_slave_in_0.dat(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o5_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o5_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o6_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o6_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o6_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o5_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o6_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o6_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_3)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3)) )) (net (rename cnx_slave_in_0_dat_4 "cnx_slave_in_0.dat(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o6_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o6_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o6_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o6_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o6_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o6_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o6_3)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o6_19)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_4)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4)) )) (net (rename cnx_slave_in_0_dat_5 "cnx_slave_in_0.dat(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o5_13)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_30)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o5_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o5_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o6_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5)) )) (net (rename cnx_slave_in_0_dat_6 "cnx_slave_in_0.dat(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o5_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o6_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o6_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o5_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o6_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o6_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6)) )) (net (rename cnx_slave_in_0_dat_7 "cnx_slave_in_0.dat(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o5_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o6_7)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o5_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o5_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o6_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o6_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o6_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_10)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o6_21)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o6_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o5_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_7)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) )) (net (rename cnx_slave_in_0_dat_8 "cnx_slave_in_0.dat(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o5_8)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o6_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o6_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o6_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o6_7)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o5_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o6_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_8)) )) (net (rename cnx_slave_in_0_dat_9 "cnx_slave_in_0.dat(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_9)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o5_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o6_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o6_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_3)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o6_22)) )) (net (rename cnx_slave_in_0_dat_10 "cnx_slave_in_0.dat(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_10)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o5_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o5_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) )) (net (rename cnx_slave_in_0_dat_11 "cnx_slave_in_0.dat(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o5_11)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o5_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_15)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o5_14)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_11)) )) (net (rename cnx_slave_in_0_dat_12 "cnx_slave_in_0.dat(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_12)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o5_12)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o6_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o6_7)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o6_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o6_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o5_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o5_12)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o5_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o6_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o6_1)) )) (net (rename cnx_slave_in_0_dat_13 "cnx_slave_in_0.dat(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_13)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o5_13)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o6_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o6_2)) )) (net (rename cnx_slave_in_0_dat_14 "cnx_slave_in_0.dat(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_14)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o6_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o6_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o5_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o6_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o6_5)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o6_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o6_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o5_22)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o6_14)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) )) (net (rename cnx_slave_in_0_dat_15 "cnx_slave_in_0.dat(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o6_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o6_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o6_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_15)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) )) (net (rename cnx_slave_in_0_dat_16 "cnx_slave_in_0.dat(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o6_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o6_16)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o6_27)) )) (net (rename cnx_slave_in_0_dat_17 "cnx_slave_in_0.dat(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_15_1_17)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o6_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o6_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o6_17)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_17)) )) (net (rename cnx_slave_in_0_dat_18 "cnx_slave_in_0.dat(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o6_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o5_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o5_13)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o5_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o5_6)) )) (net (rename cnx_slave_in_0_dat_19 "cnx_slave_in_0.dat(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_19)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o5_19)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o5_19)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) )) (net (rename cnx_slave_in_0_dat_20 "cnx_slave_in_0.dat(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o6_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o5_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o5_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o5_20)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o5_20)) )) (net (rename cnx_slave_in_0_dat_21 "cnx_slave_in_0.dat(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_21)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o5_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o6_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o5_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) )) (net (rename cnx_slave_in_0_dat_22 "cnx_slave_in_0.dat(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_22)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o6_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o5_22)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o6_22)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o6_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o6_2)) )) (net (rename cnx_slave_in_0_dat_23 "cnx_slave_in_0.dat(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_23)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o6_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o5_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o5_12)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) )) (net (rename cnx_slave_in_0_dat_24 "cnx_slave_in_0.dat(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o5_21)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o5_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) )) (net (rename cnx_slave_in_0_dat_25 "cnx_slave_in_0.dat(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_25)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o5_25)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o6_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o6_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o5_25)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) )) (net (rename cnx_slave_in_0_dat_26 "cnx_slave_in_0.dat(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o6_26)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o6_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o5_26)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_23)) )) (net (rename cnx_slave_in_0_dat_27 "cnx_slave_in_0.dat(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_27)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o6_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o5_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o5_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o5_14)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) )) (net (rename cnx_slave_in_0_dat_28 "cnx_slave_in_0.dat(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_28)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o5_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o5_17)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o5_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o5_3)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_25)) )) (net (rename cnx_slave_in_0_dat_29 "cnx_slave_in_0.dat(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o6_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o6_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o6_29)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o5_11)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) )) (net (rename cnx_slave_in_0_dat_30 "cnx_slave_in_0.dat(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_30)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o5_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o5_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o6_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o6_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_30)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o6_23)) )) (net (rename cnx_slave_in_0_dat_31 "cnx_slave_in_0.dat(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_31)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o6_11)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o6_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o5_31)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_28)) )) (net (rename cnx_master_in_0_ack "cnx_master_in_0.ack") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o6_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o5_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) )) (net (rename cnx_master_in_0_dat_0 "cnx_master_in_0.dat(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o6_0)) )) (net (rename cnx_master_in_0_dat_1 "cnx_master_in_0.dat(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_2 "cnx_master_in_0.dat(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_3 "cnx_master_in_0.dat(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) )) (net (rename cnx_master_in_0_dat_4 "cnx_master_in_0.dat(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_5 "cnx_master_in_0.dat(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_6 "cnx_master_in_0.dat(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_7 "cnx_master_in_0.dat(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_7)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0)) )) (net (rename cnx_master_in_0_dat_8 "cnx_master_in_0.dat(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_8)) @@ -41020,63 +39615,63 @@ )) (net (rename cnx_master_in_0_dat_10 "cnx_master_in_0.dat(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_10)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o5_10)) )) (net (rename cnx_master_in_0_dat_11 "cnx_master_in_0.dat(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o5_11)) )) (net (rename cnx_master_in_0_dat_12 "cnx_master_in_0.dat(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_12)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o5_12)) )) (net (rename cnx_master_in_0_dat_13 "cnx_master_in_0.dat(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_13)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o5_13)) )) (net (rename cnx_master_in_0_dat_14 "cnx_master_in_0.dat(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_14)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o5_14)) )) (net (rename cnx_master_in_0_dat_15 "cnx_master_in_0.dat(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o5_15)) )) (net (rename cnx_master_in_0_dat_16 "cnx_master_in_0.dat(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o5_7)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) )) (net (rename cnx_master_in_0_dat_17 "cnx_master_in_0.dat(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_18 "cnx_master_in_0.dat(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_19 "cnx_master_in_0.dat(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_19)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_20 "cnx_master_in_0.dat(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_21 "cnx_master_in_0.dat(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_21)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_22 "cnx_master_in_0.dat(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_22)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_23 "cnx_master_in_0.dat(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_23)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_24 "cnx_master_in_0.dat(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o5_0)) )) (net (rename cnx_master_in_0_dat_25 "cnx_master_in_0.dat(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_25)) @@ -41092,11 +39687,11 @@ )) (net (rename cnx_master_in_0_dat_28 "cnx_master_in_0.dat(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_28)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o6_0)) )) (net (rename cnx_master_in_0_dat_29 "cnx_master_in_0.dat(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0)) )) (net (rename cnx_master_in_0_dat_30 "cnx_master_in_0.dat(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_30)) @@ -41104,175 +39699,172 @@ )) (net (rename cnx_master_in_0_dat_31 "cnx_master_in_0.dat(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_31)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0)) )) (net (rename cnx_master_in_1_ack "cnx_master_in_1.ack") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_bus_wen)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1)) (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_2)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0)) )) (net (rename cnx_master_in_1_dat_0 "cnx_master_in_1.dat(0)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7_1)) )) (net (rename cnx_master_in_1_dat_1 "cnx_master_in_1.dat(1)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o6_1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o5_1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) )) (net (rename cnx_master_in_1_dat_2 "cnx_master_in_1.dat(2)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_2)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_2)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) )) (net (rename cnx_master_in_1_dat_3 "cnx_master_in_1.dat(3)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o6_1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o5_1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) )) (net (rename cnx_master_in_1_dat_4 "cnx_master_in_1.dat(4)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o6_1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_4)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) )) (net (rename cnx_master_in_1_dat_5 "cnx_master_in_1.dat(5)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o5_5)) )) (net (rename cnx_master_in_1_dat_6 "cnx_master_in_1.dat(6)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o6_1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o5_6)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) )) (net (rename cnx_master_in_1_dat_7 "cnx_master_in_1.dat(7)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_7)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o5_7)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) )) (net (rename cnx_master_in_1_dat_8 "cnx_master_in_1.dat(8)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_8)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_8)) )) (net (rename cnx_master_in_1_dat_9 "cnx_master_in_1.dat(9)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_9)) )) (net (rename cnx_master_in_1_dat_10 "cnx_master_in_1.dat(10)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_10)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o5_10)) )) (net (rename cnx_master_in_1_dat_11 "cnx_master_in_1.dat(11)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o5_11)) )) (net (rename cnx_master_in_1_dat_12 "cnx_master_in_1.dat(12)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_12)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o5_12)) )) (net (rename cnx_master_in_1_dat_13 "cnx_master_in_1.dat(13)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_13)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o5_13)) )) (net (rename cnx_master_in_1_dat_14 "cnx_master_in_1.dat(14)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_14)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o5_14)) )) (net (rename cnx_master_in_1_dat_15 "cnx_master_in_1.dat(15)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o5_15)) )) (net (rename cnx_master_in_1_dat_16 "cnx_master_in_1.dat(16)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o5_6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o6_1)) )) (net (rename cnx_master_in_1_dat_17 "cnx_master_in_1.dat(17)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o5_17)) )) (net (rename cnx_master_in_1_dat_18 "cnx_master_in_1.dat(18)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o5_18)) )) (net (rename cnx_master_in_1_dat_19 "cnx_master_in_1.dat(19)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_19)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o5_19)) )) (net (rename cnx_master_in_1_dat_20 "cnx_master_in_1.dat(20)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o5_20)) )) (net (rename cnx_master_in_1_dat_21 "cnx_master_in_1.dat(21)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_21)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o5_21)) )) (net (rename cnx_master_in_1_dat_22 "cnx_master_in_1.dat(22)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_22)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o5_22)) )) (net (rename cnx_master_in_1_dat_23 "cnx_master_in_1.dat(23)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_23)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o5_23)) )) (net (rename cnx_master_in_1_dat_24 "cnx_master_in_1.dat(24)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o5_24)) )) (net (rename cnx_master_in_1_dat_25 "cnx_master_in_1.dat(25)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_25)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o5_25)) )) (net (rename cnx_master_in_1_dat_26 "cnx_master_in_1.dat(26)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o5_26)) )) (net (rename cnx_master_in_1_dat_27 "cnx_master_in_1.dat(27)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_27)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o5_27)) )) (net (rename cnx_master_in_1_dat_28 "cnx_master_in_1.dat(28)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_28)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o5_28)) )) (net (rename cnx_master_in_1_dat_29 "cnx_master_in_1.dat(29)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o5_29)) )) (net (rename cnx_master_in_1_dat_30 "cnx_master_in_1.dat(30)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_30)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) )) (net (rename cnx_master_in_1_dat_31 "cnx_master_in_1.dat(31)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o6_1)) )) (net (rename cnx_master_in_2_ack "cnx_master_in_2.ack") (joined (portRef Q (instanceRef cmp_carrier_csr_ack_sreg_0)) - (portRef I0 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_1)) + (portRef I0 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o5_0)) + (portRef I0 (instanceRef cmp_carrier_csr_ack_sreg_RNI2KJ7_o6_0)) )) (net (rename cnx_master_in_2_dat_0 "cnx_master_in_2.dat(0)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) + (portRef I0 (instanceRef cmp_carrier_csr_rddata_reg_RNITNIJ_o5_0)) )) (net (rename cnx_master_in_2_dat_1 "cnx_master_in_2.dat(1)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) )) (net (rename cnx_master_in_2_dat_2 "cnx_master_in_2.dat(2)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o5_2)) )) (net (rename cnx_master_in_2_dat_3 "cnx_master_in_2.dat(3)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o6_2)) (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_22_3)) )) (net (rename cnx_master_in_2_dat_4 "cnx_master_in_2.dat(4)") (joined @@ -41292,8 +39884,8 @@ )) (net (rename cnx_master_in_2_dat_7 "cnx_master_in_2.dat(7)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_7)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_RNO_7)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o6_2)) )) (net (rename cnx_master_in_2_dat_8 "cnx_master_in_2.dat(8)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_8)) @@ -41307,8 +39899,8 @@ )) (net (rename cnx_master_in_2_dat_10 "cnx_master_in_2.dat(10)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_10)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o5_7)) + (portRef I0 (instanceRef cmp_carrier_csr_ack_sreg_RNI2KJ7_o5_0)) )) (net (rename cnx_master_in_2_dat_11 "cnx_master_in_2.dat(11)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_11)) @@ -41337,8 +39929,8 @@ )) (net (rename cnx_master_in_2_dat_16 "cnx_master_in_2.dat(16)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_6_2)) )) (net (rename cnx_master_in_2_dat_17 "cnx_master_in_2.dat(17)") (joined (portRef Q (instanceRef cmp_carrier_csr_rddata_reg_17)) @@ -41417,16 +40009,18 @@ )) (net (rename cnx_master_in_3_ack "cnx_master_in_3.ack") (joined (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNO)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o5_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o6_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO)) )) (net (rename cnx_master_in_4_ack "cnx_master_in_4.ack") (joined (portRef Q (instanceRef cmp_dma_eic_ack_sreg_0)) - (portRef I1 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1)) + (portRef I1 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o5)) + (portRef I0 (instanceRef cmp_dma_eic_ack_sreg_RNIKS5P_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO)) + (portRef I2 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) (portRef I1 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) (portRef I2 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) - (portRef I2 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) )) (net (rename cnx_master_in_4_dat_0 "cnx_master_in_4.dat(0)") (joined (portRef Q (instanceRef cmp_dma_eic_rddata_reg_0)) @@ -41435,22 +40029,11 @@ )) (net (rename cnx_master_in_4_dat_1 "cnx_master_in_4.dat(1)") (joined (portRef Q (instanceRef cmp_dma_eic_rddata_reg_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o5)) + (portRef I0 (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o5_1)) (portRef I4 (instanceRef cmp_dma_eic_rddata_reg_96_1)) )) - (net (rename cnx_master_out_0_dat_0 "cnx_master_out_0.dat(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_0)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_0)) - )) (net (rename cnx_master_out_0_dat_1 "cnx_master_out_0.dat(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o6)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1)) @@ -41461,7 +40044,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1)) )) (net (rename cnx_master_out_0_dat_2 "cnx_master_out_0.dat(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPFHD_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_2)) @@ -41472,7 +40055,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_2)) )) (net (rename cnx_master_out_0_dat_3 "cnx_master_out_0.dat(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o6_12)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_3)) @@ -41483,7 +40066,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_3)) )) (net (rename cnx_master_out_0_dat_4 "cnx_master_out_0.dat(4)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o6_25)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_4)) @@ -41494,7 +40077,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_4)) )) (net (rename cnx_master_out_0_dat_5 "cnx_master_out_0.dat(5)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o5_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_5)) @@ -41505,7 +40088,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_5)) )) (net (rename cnx_master_out_0_dat_6 "cnx_master_out_0.dat(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o6_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_6)) @@ -41516,7 +40099,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_6)) )) (net (rename cnx_master_out_0_dat_7 "cnx_master_out_0.dat(7)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o5_7)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o5_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_7)) @@ -41526,30 +40109,19 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_7)) )) - (net (rename cnx_master_out_0_dat_9 "cnx_master_out_0.dat(9)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_9)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_9)) - )) - (net (rename cnx_master_out_0_dat_10 "cnx_master_out_0.dat(10)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_10)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_10)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_10)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_10)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_10)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_10)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_10)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_10)) + (net (rename cnx_master_out_0_dat_8 "cnx_master_out_0.dat(8)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o6_20)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_8)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_8)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_8)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_8)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_8)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_8)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_8)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_8)) )) (net (rename cnx_master_out_0_dat_11 "cnx_master_out_0.dat(11)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o5_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_11)) @@ -41559,19 +40131,30 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_11)) )) - (net (rename cnx_master_out_0_dat_13 "cnx_master_out_0.dat(13)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_13)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_13)) + (net (rename cnx_master_out_0_dat_12 "cnx_master_out_0.dat(12)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o6_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_12)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_12)) + )) + (net (rename cnx_master_out_0_dat_14 "cnx_master_out_0.dat(14)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o6_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_14)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_14)) )) (net (rename cnx_master_out_0_dat_15 "cnx_master_out_0.dat(15)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o6_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_15)) @@ -41582,7 +40165,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_15)) )) (net (rename cnx_master_out_0_dat_16 "cnx_master_out_0.dat(16)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o6_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_16)) @@ -41593,7 +40176,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_16)) )) (net (rename cnx_master_out_0_dat_17 "cnx_master_out_0.dat(17)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o6_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_17)) @@ -41603,19 +40186,8 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_17)) )) - (net (rename cnx_master_out_0_dat_18 "cnx_master_out_0.dat(18)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_18)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_18)) - )) (net (rename cnx_master_out_0_dat_19 "cnx_master_out_0.dat(19)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o6_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_19)) @@ -41626,7 +40198,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_19)) )) (net (rename cnx_master_out_0_dat_20 "cnx_master_out_0.dat(20)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o5_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_20)) @@ -41637,7 +40209,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_20)) )) (net (rename cnx_master_out_0_dat_21 "cnx_master_out_0.dat(21)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o5_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_21)) @@ -41647,19 +40219,8 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_21)) )) - (net (rename cnx_master_out_0_dat_22 "cnx_master_out_0.dat(22)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_22)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_22)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_22)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_22)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_22)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_22)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_22)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_22)) - )) (net (rename cnx_master_out_0_dat_23 "cnx_master_out_0.dat(23)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o5_12)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_23)) @@ -41670,7 +40231,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_23)) )) (net (rename cnx_master_out_0_dat_24 "cnx_master_out_0.dat(24)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_24)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_24)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_24)) @@ -41681,7 +40242,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_24)) )) (net (rename cnx_master_out_0_dat_25 "cnx_master_out_0.dat(25)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o5_25)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_25)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_25)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_25)) @@ -41691,19 +40252,8 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_25)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_25)) )) - (net (rename cnx_master_out_0_dat_26 "cnx_master_out_0.dat(26)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_26)) - )) (net (rename cnx_master_out_0_dat_27 "cnx_master_out_0.dat(27)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o5_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_27)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_27)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_27)) @@ -41714,7 +40264,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_27)) )) (net (rename cnx_master_out_0_dat_28 "cnx_master_out_0.dat(28)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o5_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_28)) @@ -41724,19 +40274,8 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_28)) )) - (net (rename cnx_master_out_0_dat_29 "cnx_master_out_0.dat(29)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_29)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_29)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_29)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_29)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_29)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_29)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_29)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_29)) - )) (net (rename cnx_master_out_0_dat_30 "cnx_master_out_0.dat(30)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o6_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_30)) @@ -41747,7 +40286,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_30)) )) (net (rename cnx_master_out_0_dat_31 "cnx_master_out_0.dat(31)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o6_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_31)) @@ -41757,85 +40296,101 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_31)) )) + (net (rename cnx_master_out_2_dat_0 "cnx_master_out_2.dat(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_green_int)) + )) (net (rename cnx_master_out_2_dat_2 "cnx_master_out_2.dat(2)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o5_16)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_dac_clr_n_int)) )) (net (rename cnx_master_out_2_dat_3 "cnx_master_out_2.dat(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o5_4)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_0)) )) - (net (rename cnx_master_out_2_dat_6 "cnx_master_out_2.dat(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_3)) + (net (rename cnx_master_out_2_dat_4 "cnx_master_out_2.dat(4)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o6_4)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_1)) )) - (net (rename cnx_master_out_2_dat_7 "cnx_master_out_2.dat(7)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o5_5)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_4)) + (net (rename cnx_master_out_2_dat_5 "cnx_master_out_2.dat(5)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_30)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_2)) )) - (net (rename cnx_master_out_2_dat_10 "cnx_master_out_2.dat(10)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_7)) + (net (rename cnx_master_out_2_dat_8 "cnx_master_out_2.dat(8)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o5_8)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_5)) + )) + (net (rename cnx_master_out_2_dat_9 "cnx_master_out_2.dat(9)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o5_9)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_6)) + )) + (net (rename cnx_master_out_2_dat_11 "cnx_master_out_2.dat(11)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_15)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_8)) + )) + (net (rename cnx_master_out_2_dat_12 "cnx_master_out_2.dat(12)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o5_12)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_9)) )) (net (rename cnx_master_out_2_dat_13 "cnx_master_out_2.dat(13)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_13)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_10)) )) + (net (rename cnx_master_out_2_dat_14 "cnx_master_out_2.dat(14)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o5_22)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_11)) + )) (net (rename cnx_master_out_2_dat_15 "cnx_master_out_2.dat(15)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_15)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_12)) )) (net (rename cnx_master_out_2_dat_16 "cnx_master_out_2.dat(16)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o6_16)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_13)) )) - (net (rename cnx_master_out_2_dat_17 "cnx_master_out_2.dat(17)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_14)) + (net (rename cnx_master_out_2_dat_18 "cnx_master_out_2.dat(18)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_13)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_15)) )) (net (rename cnx_master_out_2_dat_19 "cnx_master_out_2.dat(19)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o5_19)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_16)) )) (net (rename cnx_master_out_2_dat_20 "cnx_master_out_2.dat(20)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o5_20)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_17)) )) (net (rename cnx_master_out_2_dat_21 "cnx_master_out_2.dat(21)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o6_21)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_18)) )) (net (rename cnx_master_out_2_dat_22 "cnx_master_out_2.dat(22)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o6_22)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_19)) )) (net (rename cnx_master_out_2_dat_23 "cnx_master_out_2.dat(23)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o6_20)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_20)) )) (net (rename cnx_master_out_2_dat_24 "cnx_master_out_2.dat(24)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o5_21)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_21)) )) (net (rename cnx_master_out_2_dat_25 "cnx_master_out_2.dat(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o5_25)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o6_25)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_22)) )) - (net (rename cnx_master_out_2_dat_26 "cnx_master_out_2.dat(26)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_23)) - )) - (net (rename cnx_master_out_2_dat_28 "cnx_master_out_2.dat(28)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_25)) + (net (rename cnx_master_out_2_dat_27 "cnx_master_out_2.dat(27)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o5_25)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_24)) )) (net (rename cnx_master_out_2_dat_29 "cnx_master_out_2.dat(29)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o6_9)) (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_26)) )) - (net (rename cnx_master_out_2_dat_31 "cnx_master_out_2.dat(31)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_28)) + (net (rename cnx_master_out_2_dat_30 "cnx_master_out_2.dat(30)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_30)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_27)) )) (net (rename gn4124_status_0 "gn4124_status(0)") (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o6)) @@ -41862,279 +40417,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_clk_in_state_4)) (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_22_1)) )) - (net (rename dma_dat_wr_0 "dma_dat_wr(0)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o5)) - (portRef dinb_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_1 "dma_dat_wr(1)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o5)) - (portRef dinb_1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_2 "dma_dat_wr(2)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o5)) - (portRef dinb_2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_3 "dma_dat_wr(3)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o6)) - (portRef dinb_3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_4 "dma_dat_wr(4)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o5)) - (portRef dinb_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_5 "dma_dat_wr(5)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o6)) - (portRef dinb_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_6 "dma_dat_wr(6)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o6)) - (portRef dinb_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_7 "dma_dat_wr(7)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o6)) - (portRef dinb_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_8 "dma_dat_wr(8)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o5)) - (portRef dinb_8 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_9 "dma_dat_wr(9)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o6)) - (portRef dinb_9 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_10 "dma_dat_wr(10)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o6)) - (portRef dinb_10 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_11 "dma_dat_wr(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o5)) - (portRef dinb_11 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_12 "dma_dat_wr(12)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o5)) - (portRef dinb_12 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_13 "dma_dat_wr(13)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o5)) - (portRef dinb_13 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_14 "dma_dat_wr(14)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o5)) - (portRef dinb_14 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_15 "dma_dat_wr(15)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o5)) - (portRef dinb_15 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_16 "dma_dat_wr(16)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o5)) - (portRef dinb_16 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_17 "dma_dat_wr(17)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o5)) - (portRef dinb_17 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_18 "dma_dat_wr(18)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o5)) - (portRef dinb_18 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_19 "dma_dat_wr(19)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o6)) - (portRef dinb_19 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_20 "dma_dat_wr(20)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o6)) - (portRef dinb_20 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_21 "dma_dat_wr(21)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o6)) - (portRef dinb_21 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_22 "dma_dat_wr(22)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o5)) - (portRef dinb_22 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_23 "dma_dat_wr(23)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o5)) - (portRef dinb_23 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_24 "dma_dat_wr(24)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o6)) - (portRef dinb_24 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_25 "dma_dat_wr(25)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o6)) - (portRef dinb_25 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_26 "dma_dat_wr(26)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o6)) - (portRef dinb_26 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_27 "dma_dat_wr(27)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o6)) - (portRef dinb_27 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_28 "dma_dat_wr(28)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o5)) - (portRef dinb_28 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_29 "dma_dat_wr(29)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o6)) - (portRef dinb_29 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_30 "dma_dat_wr(30)") (joined - (portRef O (instanceRef gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o5)) - (portRef dinb_30 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_wr_31 "dma_dat_wr(31)") (joined - (portRef O (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o6)) - (portRef dinb_31 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net dma_we (joined - (portRef O (instanceRef gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o6)) - (portRef web_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net dma_cyc (joined - (portRef O (instanceRef gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o6)) - (portRef enb (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - )) - (net (rename dma_dat_rd_0 "dma_dat_rd(0)") (joined - (portRef doutb_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_1 "dma_dat_rd(1)") (joined - (portRef doutb_1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_2 "dma_dat_rd(2)") (joined - (portRef doutb_2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_3 "dma_dat_rd(3)") (joined - (portRef doutb_3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_4 "dma_dat_rd(4)") (joined - (portRef doutb_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_5 "dma_dat_rd(5)") (joined - (portRef doutb_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_6 "dma_dat_rd(6)") (joined - (portRef doutb_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_7 "dma_dat_rd(7)") (joined - (portRef doutb_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_8 "dma_dat_rd(8)") (joined - (portRef doutb_8 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_9 "dma_dat_rd(9)") (joined - (portRef doutb_9 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_9 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_10 "dma_dat_rd(10)") (joined - (portRef doutb_10 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_10 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_11 "dma_dat_rd(11)") (joined - (portRef doutb_11 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_11 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_12 "dma_dat_rd(12)") (joined - (portRef doutb_12 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_12 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_13 "dma_dat_rd(13)") (joined - (portRef doutb_13 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_13 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_14 "dma_dat_rd(14)") (joined - (portRef doutb_14 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_14 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_15 "dma_dat_rd(15)") (joined - (portRef doutb_15 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_15 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_16 "dma_dat_rd(16)") (joined - (portRef doutb_16 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_16 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_17 "dma_dat_rd(17)") (joined - (portRef doutb_17 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_17 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_18 "dma_dat_rd(18)") (joined - (portRef doutb_18 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_18 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_19 "dma_dat_rd(19)") (joined - (portRef doutb_19 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_19 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_20 "dma_dat_rd(20)") (joined - (portRef doutb_20 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_20 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_21 "dma_dat_rd(21)") (joined - (portRef doutb_21 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_21 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_22 "dma_dat_rd(22)") (joined - (portRef doutb_22 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_22 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_23 "dma_dat_rd(23)") (joined - (portRef doutb_23 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_23 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_24 "dma_dat_rd(24)") (joined - (portRef doutb_24 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_24 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_25 "dma_dat_rd(25)") (joined - (portRef doutb_25 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_25 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_26 "dma_dat_rd(26)") (joined - (portRef doutb_26 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_26 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_27 "dma_dat_rd(27)") (joined - (portRef doutb_27 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_27 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_28 "dma_dat_rd(28)") (joined - (portRef doutb_28 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_28 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_29 "dma_dat_rd(29)") (joined - (portRef doutb_29 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_29 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_30 "dma_dat_rd(30)") (joined - (portRef doutb_30 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_30 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net (rename dma_dat_rd_31 "dma_dat_rd(31)") (joined - (portRef doutb_31 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) - (portRef din_31 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - )) - (net dma_ack (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_cyc_t_e)) - )) (net dma_eic_irq (joined (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_wb_irq_o)) (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1)) @@ -42145,7 +40427,7 @@ )) (net (rename cmp_carrier_onewire_owr_pwren_o_0 "cmp_carrier_onewire_owr_pwren_o(0)") (joined (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) )) (net cmp_carrier_csr_carrier_csr_ctrl_led_green_o (joined (portRef Q (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_green_int)) @@ -42177,7 +40459,7 @@ )) (net (rename cmp_carrier_csr_carrier_csr_ctrl_reserved_o_4 "cmp_carrier_csr_carrier_csr_ctrl_reserved_o(4)") (joined (portRef Q (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_4)) - (portRef I0 (instanceRef cmp_carrier_csr_rddata_reg_RNO_7)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o5_2)) )) (net (rename cmp_carrier_csr_carrier_csr_ctrl_reserved_o_5 "cmp_carrier_csr_carrier_csr_ctrl_reserved_o(5)") (joined (portRef Q (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_5)) @@ -42189,7 +40471,7 @@ )) (net (rename cmp_carrier_csr_carrier_csr_ctrl_reserved_o_7 "cmp_carrier_csr_carrier_csr_ctrl_reserved_o(7)") (joined (portRef Q (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_7)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o5_7)) )) (net (rename cmp_carrier_csr_carrier_csr_ctrl_reserved_o_8 "cmp_carrier_csr_carrier_csr_ctrl_reserved_o(8)") (joined (portRef Q (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_8)) @@ -42279,16 +40561,26 @@ (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) (portRef I (instanceRef data_bus_io_iobuf_0)) )) + (net acm_we (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o6_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0)) + )) (net (rename acm_dat_w_1 "acm_dat_w(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1)) (portRef I (instanceRef data_bus_io_iobuf_1)) )) (net (rename acm_dat_w_2 "acm_dat_w(2)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2)) (portRef I (instanceRef data_bus_io_iobuf_2)) )) (net (rename acm_dat_w_3 "acm_dat_w(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) (portRef I (instanceRef data_bus_io_iobuf_3)) )) (net (rename acm_dat_w_4 "acm_dat_w(4)") (joined @@ -42296,7 +40588,7 @@ (portRef I (instanceRef data_bus_io_iobuf_4)) )) (net (rename acm_dat_w_5 "acm_dat_w(5)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) (portRef I (instanceRef data_bus_io_iobuf_5)) )) (net (rename acm_dat_w_6 "acm_dat_w(6)") (joined @@ -42304,51 +40596,51 @@ (portRef I (instanceRef data_bus_io_iobuf_6)) )) (net (rename acm_dat_w_7 "acm_dat_w(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7)) (portRef I (instanceRef data_bus_io_iobuf_7)) )) (net (rename acm_dat_w_8 "acm_dat_w(8)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) (portRef I (instanceRef data_bus_io_iobuf_8)) )) (net (rename acm_dat_w_9 "acm_dat_w(9)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) (portRef I (instanceRef data_bus_io_iobuf_9)) )) (net (rename acm_dat_w_10 "acm_dat_w(10)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10)) (portRef I (instanceRef data_bus_io_iobuf_10)) )) + (net (rename acm_dat_w_11 "acm_dat_w(11)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11)) + (portRef I (instanceRef data_bus_io_iobuf_11)) + )) (net (rename acm_dat_w_12 "acm_dat_w(12)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) (portRef I (instanceRef data_bus_io_iobuf_12)) )) (net (rename acm_dat_w_13 "acm_dat_w(13)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) (portRef I (instanceRef data_bus_io_iobuf_13)) )) (net (rename acm_dat_w_14 "acm_dat_w(14)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) (portRef I (instanceRef data_bus_io_iobuf_14)) )) (net (rename acm_dat_w_15 "acm_dat_w(15)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) (portRef I (instanceRef data_bus_io_iobuf_15)) )) (net (rename acm_dat_w_16 "acm_dat_w(16)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16)) (portRef I (instanceRef data_bus_io_iobuf_16)) )) (net (rename acm_dat_w_17 "acm_dat_w(17)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) (portRef I (instanceRef data_bus_io_iobuf_17)) )) - (net (rename acm_dat_w_18 "acm_dat_w(18)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18)) - (portRef I (instanceRef data_bus_io_iobuf_18)) - )) (net (rename acm_dat_w_19 "acm_dat_w(19)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) (portRef I (instanceRef data_bus_io_iobuf_19)) )) (net (rename acm_dat_w_20 "acm_dat_w(20)") (joined @@ -42359,1683 +40651,160 @@ (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) (portRef I (instanceRef data_bus_io_iobuf_21)) )) - (net (rename acm_dat_w_22 "acm_dat_w(22)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22)) - (portRef I (instanceRef data_bus_io_iobuf_22)) - )) (net (rename acm_dat_w_23 "acm_dat_w(23)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) (portRef I (instanceRef data_bus_io_iobuf_23)) )) (net (rename acm_dat_w_24 "acm_dat_w(24)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) (portRef I (instanceRef data_bus_io_iobuf_24)) )) + (net (rename acm_dat_w_25 "acm_dat_w(25)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25)) + (portRef I (instanceRef data_bus_io_iobuf_25)) + )) (net (rename acm_dat_w_26 "acm_dat_w(26)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) (portRef I (instanceRef data_bus_io_iobuf_26)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_113 "cmp_tdc.un1_cmp_sdb_crossbar_1(113)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o5_3)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_115 "cmp_tdc.un1_cmp_sdb_crossbar_1(115)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_116 "cmp_tdc.un1_cmp_sdb_crossbar_1(116)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_120 "cmp_tdc.un1_cmp_sdb_crossbar_1(120)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_121 "cmp_tdc.un1_cmp_sdb_crossbar_1(121)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_122 "cmp_tdc.un1_cmp_sdb_crossbar_1(122)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_126 "cmp_tdc.un1_cmp_sdb_crossbar_1(126)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_127 "cmp_tdc.un1_cmp_sdb_crossbar_1(127)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_15_1_17)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_129 "cmp_tdc.un1_cmp_sdb_crossbar_1(129)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o5_19)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_130 "cmp_tdc.un1_cmp_sdb_crossbar_1(130)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o5_20)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_131 "cmp_tdc.un1_cmp_sdb_crossbar_1(131)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_132 "cmp_tdc.un1_cmp_sdb_crossbar_1(132)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o5_22)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_133 "cmp_tdc.un1_cmp_sdb_crossbar_1(133)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_134 "cmp_tdc.un1_cmp_sdb_crossbar_1(134)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o5_7)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_136 "cmp_tdc.un1_cmp_sdb_crossbar_1(136)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_137 "cmp_tdc.un1_cmp_sdb_crossbar_1(137)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_138 "cmp_tdc.un1_cmp_sdb_crossbar_1(138)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_139 "cmp_tdc.un1_cmp_sdb_crossbar_1(139)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_141 "cmp_tdc.un1_cmp_sdb_crossbar_1(141)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_252 "cmp_tdc.un1_cmp_sdb_crossbar_1(252)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o5_4)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_8)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_0)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_253 "cmp_tdc.un1_cmp_sdb_crossbar_1(253)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o6_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_9)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_1)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_256 "cmp_tdc.un1_cmp_sdb_crossbar_1(256)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_4)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_12)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_4)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_4)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_257 "cmp_tdc.un1_cmp_sdb_crossbar_1(257)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_5)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_258 "cmp_tdc.un1_cmp_sdb_crossbar_1(258)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_14)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_6)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_259 "cmp_tdc.un1_cmp_sdb_crossbar_1(259)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o6_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_7)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_7)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_15)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_7)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_7)) - )) - (net (rename cmp_tdc_cnx_master_in_0_ack "cmp_tdc.cnx_master_in_0.ack") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_ack_o)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_0 "cmp_tdc.cnx_master_in_0.dat(0)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_1 "cmp_tdc.cnx_master_in_0.dat(1)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_2 "cmp_tdc.cnx_master_in_0.dat(2)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_3 "cmp_tdc.cnx_master_in_0.dat(3)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_4 "cmp_tdc.cnx_master_in_0.dat(4)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_5 "cmp_tdc.cnx_master_in_0.dat(5)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_6 "cmp_tdc.cnx_master_in_0.dat(6)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_7 "cmp_tdc.cnx_master_in_0.dat(7)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o5_7)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_8 "cmp_tdc.cnx_master_in_0.dat(8)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_9 "cmp_tdc.cnx_master_in_0.dat(9)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_9)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o5)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_10 "cmp_tdc.cnx_master_in_0.dat(10)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_11 "cmp_tdc.cnx_master_in_0.dat(11)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_11)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_12 "cmp_tdc.cnx_master_in_0.dat(12)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_12)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_13 "cmp_tdc.cnx_master_in_0.dat(13)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_14 "cmp_tdc.cnx_master_in_0.dat(14)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_14)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_15 "cmp_tdc.cnx_master_in_0.dat(15)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_15)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_16 "cmp_tdc.cnx_master_in_0.dat(16)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_16)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_17 "cmp_tdc.cnx_master_in_0.dat(17)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_17)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_18 "cmp_tdc.cnx_master_in_0.dat(18)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_18)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_19 "cmp_tdc.cnx_master_in_0.dat(19)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_19)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_20 "cmp_tdc.cnx_master_in_0.dat(20)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_20)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_21 "cmp_tdc.cnx_master_in_0.dat(21)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_21)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_22 "cmp_tdc.cnx_master_in_0.dat(22)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_22)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_23 "cmp_tdc.cnx_master_in_0.dat(23)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_23)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_24 "cmp_tdc.cnx_master_in_0.dat(24)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_24)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_25 "cmp_tdc.cnx_master_in_0.dat(25)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_25)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_26 "cmp_tdc.cnx_master_in_0.dat(26)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_26)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_27 "cmp_tdc.cnx_master_in_0.dat(27)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_27)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_28 "cmp_tdc.cnx_master_in_0.dat(28)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_28)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_29 "cmp_tdc.cnx_master_in_0.dat(29)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_29)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_30 "cmp_tdc.cnx_master_in_0.dat(30)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_30)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) - )) - (net (rename cmp_tdc_cnx_master_in_0_dat_31 "cmp_tdc.cnx_master_in_0.dat(31)") (joined - (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_31)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) - )) - (net (rename cmp_tdc_cnx_master_in_1_ack "cmp_tdc.cnx_master_in_1.ack") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_ack)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_0 "cmp_tdc.cnx_master_in_1.dat(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_1 "cmp_tdc.cnx_master_in_1.dat(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_2 "cmp_tdc.cnx_master_in_1.dat(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_3 "cmp_tdc.cnx_master_in_1.dat(3)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_4 "cmp_tdc.cnx_master_in_1.dat(4)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_5 "cmp_tdc.cnx_master_in_1.dat(5)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_6 "cmp_tdc.cnx_master_in_1.dat(6)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_7 "cmp_tdc.cnx_master_in_1.dat(7)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_8 "cmp_tdc.cnx_master_in_1.dat(8)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o5_8)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_9 "cmp_tdc.cnx_master_in_1.dat(9)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_9)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_10 "cmp_tdc.cnx_master_in_1.dat(10)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_11 "cmp_tdc.cnx_master_in_1.dat(11)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_11)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_12 "cmp_tdc.cnx_master_in_1.dat(12)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_12)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_13 "cmp_tdc.cnx_master_in_1.dat(13)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_14 "cmp_tdc.cnx_master_in_1.dat(14)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_14)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_15 "cmp_tdc.cnx_master_in_1.dat(15)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_15)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_16 "cmp_tdc.cnx_master_in_1.dat(16)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_16)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_17 "cmp_tdc.cnx_master_in_1.dat(17)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_17)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_18 "cmp_tdc.cnx_master_in_1.dat(18)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_18)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_19 "cmp_tdc.cnx_master_in_1.dat(19)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_19)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_20 "cmp_tdc.cnx_master_in_1.dat(20)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_20)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_21 "cmp_tdc.cnx_master_in_1.dat(21)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_21)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_22 "cmp_tdc.cnx_master_in_1.dat(22)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_22)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_23 "cmp_tdc.cnx_master_in_1.dat(23)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_23)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_24 "cmp_tdc.cnx_master_in_1.dat(24)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_24)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_25 "cmp_tdc.cnx_master_in_1.dat(25)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_25)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_26 "cmp_tdc.cnx_master_in_1.dat(26)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_26)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_27 "cmp_tdc.cnx_master_in_1.dat(27)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_27)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_28 "cmp_tdc.cnx_master_in_1.dat(28)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_28)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_29 "cmp_tdc.cnx_master_in_1.dat(29)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_29)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_30 "cmp_tdc.cnx_master_in_1.dat(30)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_30)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o6_1)) - )) - (net (rename cmp_tdc_cnx_master_in_1_dat_31 "cmp_tdc.cnx_master_in_1.dat(31)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_31)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o5_1)) - )) - (net (rename cmp_tdc_cnx_master_in_2_ack "cmp_tdc.cnx_master_in_2.ack") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) - )) - (net (rename cmp_tdc_cnx_master_in_2_dat_0 "cmp_tdc.cnx_master_in_2.dat(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o5)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_0)) - )) - (net (rename cmp_tdc_cnx_master_in_2_dat_1 "cmp_tdc.cnx_master_in_2.dat(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_1)) - )) - (net (rename cmp_tdc_cnx_master_in_2_dat_2 "cmp_tdc.cnx_master_in_2.dat(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o5_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_2)) - )) - (net (rename cmp_tdc_cnx_master_in_3_ack "cmp_tdc.cnx_master_in_3.ack") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_r)) - )) - (net (rename cmp_tdc_cnx_master_in_3_dat_0 "cmp_tdc.cnx_master_in_3.dat(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o5)) - )) - (net (rename cmp_tdc_cnx_master_in_3_dat_1 "cmp_tdc.cnx_master_in_3.dat(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o6_3)) - )) - (net (rename cmp_tdc_cnx_master_in_3_dat_2 "cmp_tdc.cnx_master_in_3.dat(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o5_3)) - )) - (net (rename cmp_tdc_cnx_master_in_3_dat_3 "cmp_tdc.cnx_master_in_3.dat(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o5_3)) - )) - (net (rename cmp_tdc_cnx_master_in_3_dat_4 "cmp_tdc.cnx_master_in_3.dat(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o6_3)) - )) - (net (rename cmp_tdc_cnx_master_in_3_dat_5 "cmp_tdc.cnx_master_in_3.dat(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o5_3)) + (net (rename acm_dat_w_27 "acm_dat_w(27)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27)) + (portRef I (instanceRef data_bus_io_iobuf_27)) )) - (net (rename cmp_tdc_cnx_master_in_3_dat_6 "cmp_tdc.cnx_master_in_3.dat(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o6_3)) + (net (rename cmp_carrier_csr_un2_wb_cyc_i_0 "cmp_carrier_csr.un2_wb_cyc_i(0)") (joined + (portRef O (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o5_0)) + (portRef D (instanceRef cmp_carrier_csr_ack_in_progress)) )) - (net (rename cmp_tdc_cnx_master_in_3_dat_7 "cmp_tdc.cnx_master_in_3.dat(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_3_3)) + (net (rename cmp_carrier_csr_ack_in_progressZ0 "cmp_carrier_csr.ack_in_progress") (joined + (portRef Q (instanceRef cmp_carrier_csr_ack_in_progress)) + (portRef I1 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o5_0)) + (portRef I0 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o6_0)) + (portRef I0 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31_0)) + (portRef I0 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31)) )) - (net (rename cmp_tdc_cnx_master_out_0_adr_3 "cmp_tdc.cnx_master_out_0.adr(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_RNI88KA1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1)) + (net (rename cmp_carrier_csr_ack_in_progress_0_sqmuxa "cmp_carrier_csr.ack_in_progress_0_sqmuxa") (joined + (portRef O (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o6_0)) + (portRef D (instanceRef cmp_carrier_csr_ack_sreg_0)) )) - (net (rename cmp_tdc_cnx_master_out_0_adr_4 "cmp_tdc.cnx_master_out_0.adr(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_RNI88KA1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNILGG88)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1)) + (net (rename cmp_carrier_csr_rddata_reg_4_sqmuxa "cmp_carrier_csr.rddata_reg_4_sqmuxa") (joined + (portRef O (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31_0)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_0)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_1)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_2)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_3)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_4)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_5)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_6)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_7)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_8)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_9)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_10)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_11)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_12)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_13)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_14)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_15)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_16)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_17)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_18)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_19)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_20)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_21)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_22)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_23)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_24)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_25)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_26)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_27)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_28)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_red_int)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_green_int)) + (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_dac_clr_n_int)) )) - (net (rename cmp_tdc_cnx_master_out_0_adr_5 "cmp_tdc.cnx_master_out_0.adr(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o6_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIHRL37)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNILGG88)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1)) - )) - (net (rename cmp_tdc_cnx_master_out_0_adr_8 "cmp_tdc.cnx_master_out_0.adr(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o6_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6)) + (net (rename gnum_interface_block_io_clk "gnum_interface_block.io_clk") (joined + (portRef IOCLK (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_inst)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) + (portRef CLK0 (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) + (portRef IOCLK0 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) )) - (net (rename cmp_tdc_cnx_master_out_0_adr_9 "cmp_tdc.cnx_master_out_0.adr(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o5_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_0 "cmp_tdc.cnx_master_out_0.dat(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o6_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_0)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_1 "cmp_tdc.cnx_master_out_0.dat(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o6_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_1)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_2 "cmp_tdc.cnx_master_out_0.dat(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o6_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_2)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_3 "cmp_tdc.cnx_master_out_0.dat(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o5_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_3)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_4 "cmp_tdc.cnx_master_out_0.dat(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_4)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_5 "cmp_tdc.cnx_master_out_0.dat(5)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_5)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_6 "cmp_tdc.cnx_master_out_0.dat(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o5_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_6)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_7 "cmp_tdc.cnx_master_out_0.dat(7)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_7)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_8 "cmp_tdc.cnx_master_out_0.dat(8)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_8)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_9 "cmp_tdc.cnx_master_out_0.dat(9)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_9)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_10 "cmp_tdc.cnx_master_out_0.dat(10)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_10)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_11 "cmp_tdc.cnx_master_out_0.dat(11)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_11)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_12 "cmp_tdc.cnx_master_out_0.dat(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o5_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_12)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_13 "cmp_tdc.cnx_master_out_0.dat(13)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_13)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_15 "cmp_tdc.cnx_master_out_0.dat(15)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_15)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_16 "cmp_tdc.cnx_master_out_0.dat(16)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_16)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_17 "cmp_tdc.cnx_master_out_0.dat(17)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_17)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_18 "cmp_tdc.cnx_master_out_0.dat(18)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_18)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_19 "cmp_tdc.cnx_master_out_0.dat(19)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o5_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_19)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_20 "cmp_tdc.cnx_master_out_0.dat(20)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_20)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_21 "cmp_tdc.cnx_master_out_0.dat(21)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_21)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_21)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_22 "cmp_tdc.cnx_master_out_0.dat(22)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o6_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_22)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_23 "cmp_tdc.cnx_master_out_0.dat(23)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_23)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_24 "cmp_tdc.cnx_master_out_0.dat(24)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_24)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_25 "cmp_tdc.cnx_master_out_0.dat(25)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_25)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_26 "cmp_tdc.cnx_master_out_0.dat(26)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_26)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_27 "cmp_tdc.cnx_master_out_0.dat(27)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_27)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_28 "cmp_tdc.cnx_master_out_0.dat(28)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_28)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_29 "cmp_tdc.cnx_master_out_0.dat(29)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_29)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_30 "cmp_tdc.cnx_master_out_0.dat(30)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_30)) - )) - (net (rename cmp_tdc_cnx_master_out_0_dat_31 "cmp_tdc.cnx_master_out_0.dat(31)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_31)) - )) - (net (rename cmp_tdc_sys_scl_oe_n "cmp_tdc.sys_scl_oe_n") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dscl_oen)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIKTS91)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNO)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) - )) - (net (rename cmp_tdc_sys_sda_oe_n "cmp_tdc.sys_sda_oe_n") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) - )) - (net (rename cmp_tdc_cmp_fmc_onewire_owr_pwren_o_0 "cmp_tdc.cmp_fmc_onewire_owr_pwren_o(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_288 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(288)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef ADDRA_5 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_289 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(289)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o5_1)) - (portRef ADDRA_6 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_290 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(290)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o5_1)) - (portRef ADDRA_7 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_291 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(291)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o6_1)) - (portRef ADDRA_8 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_292 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(292)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o6_4)) - (portRef ADDRA_9 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_293 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(293)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o6_3)) - (portRef ADDRA_10 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_294 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(294)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o6_22)) - (portRef ADDRA_11 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_master_i_1_4_ack "cmp_tdc.cmp_sdb_crossbar.master_i_1_4.ack") (joined - (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(5)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o5_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o6_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o5_10)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o6_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o5_22)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o6_22)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o5_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o6_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o5_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o5_6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o6_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o6_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o6_8)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o5_12)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o6_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_m4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_m29)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(4)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o5_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o6_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o5_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o6_8)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o5_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o5_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o6_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o5_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o5_22)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o5_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o5_8)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIOVTB1_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_15_1_17)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(3)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_3)) - (portRef I0 (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o6_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o6_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o5_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o6_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o6_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o5_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o6_6)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_7)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_r)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_3_3)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o5_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o6_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o6_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o6_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3)) - )) - (net (rename cmp_carrier_csr_un2_wb_cyc_i_0 "cmp_carrier_csr.un2_wb_cyc_i(0)") (joined - (portRef O (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef D (instanceRef cmp_carrier_csr_ack_in_progress)) - )) - (net (rename cmp_carrier_csr_ack_in_progressZ0 "cmp_carrier_csr.ack_in_progress") (joined - (portRef Q (instanceRef cmp_carrier_csr_ack_in_progress)) - (portRef I1 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2)) - (portRef I0 (instanceRef cmp_carrier_csr_ack_in_progress_RNIUVU81)) - )) - (net (rename cmp_carrier_csr_ack_in_progress_0_sqmuxa "cmp_carrier_csr.ack_in_progress_0_sqmuxa") (joined - (portRef O (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef D (instanceRef cmp_carrier_csr_ack_sreg_0)) - )) - (net (rename cmp_carrier_csr_rddata_reg_4_sqmuxa "cmp_carrier_csr.rddata_reg_4_sqmuxa") (joined - (portRef O (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_0)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_1)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_2)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_3)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_4)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_5)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_6)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_7)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_8)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_9)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_10)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_11)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_12)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_13)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_14)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_15)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_16)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_17)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_18)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_19)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_20)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_21)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_22)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_23)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_24)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_25)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_26)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_27)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_28)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_red_int)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_green_int)) - (portRef CE (instanceRef cmp_carrier_csr_carrier_csr_ctrl_dac_clr_n_int)) - )) - (net (rename gnum_interface_block_io_clk "gnum_interface_block.io_clk") (joined - (portRef IOCLK (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_inst)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) - (portRef CLK0 (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) - (portRef IOCLK0 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) - )) - (net (rename gnum_interface_block_serdes_strobe "gnum_interface_block.serdes_strobe") (joined - (portRef SERDESSTROBE (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_inst)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) - (portRef IOCE (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) + (net (rename gnum_interface_block_serdes_strobe "gnum_interface_block.serdes_strobe") (joined + (portRef SERDESSTROBE (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_inst)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) + (portRef IOCE (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) )) (net (rename gnum_interface_block_sys_clk "gnum_interface_block.sys_clk") (joined (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_9)) @@ -44050,6 +40819,14 @@ (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_0)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) + (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o)) + (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_1)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_0)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o)) @@ -44249,8 +41026,6 @@ (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_1)) (portRef C (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wr)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_2)) - (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o)) - (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_error_irq)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_done_irq)) (portRef C (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_2)) @@ -45229,12 +42004,6 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_done_o)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_0)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_1)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_2)) @@ -45304,12 +42073,6 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_30)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_31)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_25)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_26)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_27)) @@ -45317,12 +42080,6 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_29)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_30)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_31)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_10)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_11)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_12)) @@ -45332,12 +42089,12 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_16)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_17)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_18)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_26)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_27)) @@ -45347,12 +42104,12 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_1)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_2)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_3)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_11)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_12)) @@ -45362,10 +42119,22 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_16)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_1)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_7)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_6)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_5)) @@ -45779,20 +42548,8 @@ (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_31)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_is_next_item)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_28)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_8)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_9)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_14)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_15)) @@ -45802,6 +42559,12 @@ (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_19)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_20)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_0)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_1)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_2)) @@ -45809,6 +42572,12 @@ (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_4)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_5)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_6)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_8)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_9)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_4)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_3)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_2)) @@ -45877,6 +42646,8 @@ (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_29)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_30)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_31)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_17)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_18)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_19)) @@ -45891,7 +42662,7 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_28)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_29)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_30)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_2)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_3)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_4)) @@ -45906,7 +42677,7 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_13)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_14)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_15)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_19)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_20)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_21)) @@ -45921,7 +42692,7 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_30)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_31)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_0)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) + (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_4)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_6)) @@ -45936,7 +42707,7 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_15)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_16)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_17)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_21)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_22)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_23)) @@ -45951,7 +42722,7 @@ (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_0)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_1)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_2)) - (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) + (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_6)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_7)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_8)) @@ -45966,12 +42737,10 @@ (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_17)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_18)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_19)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_0)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_2)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_3)) (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_4)) - (portRef C (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_o)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_o)) (portRef C (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t)) @@ -46146,12 +42915,11 @@ (portRef Q (instanceRef gnum_interface_block_rst_reg)) (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o5)) (portRef I (instanceRef gnum_interface_block_rst_reg_RNIJR3A)) - (portRef I0 (instanceRef gnum_interface_block_rst_reg_RNI133T1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5)) )) (net (rename gnum_interface_block_des_pd_valid "gnum_interface_block.des_pd_valid") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_valid_o)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o5_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o5)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o6)) @@ -46188,6 +42956,7 @@ (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_30)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_31)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_des_p2l_valid_d)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld_RNO)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln_RNO)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr_RNO)) @@ -46221,9 +42990,9 @@ (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_5)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_4)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_e_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_RNIRJ1A1_2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_2_RNO)) )) @@ -46237,8 +43006,8 @@ (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln_RNO)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr_RNO)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mrd_RNO)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) )) (net (rename gnum_interface_block_des_pd_data_0 "gnum_interface_block.des_pd_data(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_0)) @@ -46387,8 +43156,8 @@ (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr_RNO)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mrd_RNO)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) )) (net (rename gnum_interface_block_des_pd_data_27 "gnum_interface_block.des_pd_data(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_27)) @@ -46434,22 +43203,22 @@ )) (net (rename gnum_interface_block_p2l_target_mrd "gnum_interface_block.p2l_target_mrd") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mrd)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o5_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid)) )) (net (rename gnum_interface_block_p2l_target_mwr "gnum_interface_block.p2l_target_mwr") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o6_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o5)) (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid)) )) (net (rename gnum_interface_block_p2l_master_cpld "gnum_interface_block.p2l_master_cpld") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o6_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNIPBAF1_0)) )) (net (rename gnum_interface_block_p2l_master_cpln "gnum_interface_block.p2l_master_cpln") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln)) @@ -46618,20 +43387,20 @@ )) (net (rename gnum_interface_block_p2l_d_valid "gnum_interface_block.p2l_d_valid") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_valid)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o6_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o5)) (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o6)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o5)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o6_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_busy_error_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1_sqmuxa_0_a2)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1_sqmuxa_0_a2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNIPBAF1_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_0_sqmuxa_0_a2)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_1_sqmuxa_0_a2)) )) @@ -47027,23 +43796,23 @@ (net (rename gnum_interface_block_p_rd_d_rdyZ0Z_0 "gnum_interface_block.p_rd_d_rdy(0)") (joined (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) )) (net (rename gnum_interface_block_p_rd_d_rdyZ0Z_1 "gnum_interface_block.p_rd_d_rdy(1)") (joined (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) )) (net (rename gnum_interface_block_wbm_arb_valid "gnum_interface_block.wbm_arb_valid") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_valid_o)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) )) (net (rename gnum_interface_block_wbm_arb_dframe "gnum_interface_block.wbm_arb_dframe") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_dframe_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) )) (net (rename gnum_interface_block_wbm_arb_data_0 "gnum_interface_block.wbm_arb_data(0)") (joined @@ -47176,56 +43945,56 @@ )) (net (rename gnum_interface_block_wbm_arb_req "gnum_interface_block.wbm_arb_req") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o6)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5)) )) (net (rename gnum_interface_block_arb_wbm_gnt "gnum_interface_block.arb_wbm_gnt") (joined (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_wbm_gnt)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o6_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) + (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0)) )) (net (rename gnum_interface_block_dma_irq_0 "gnum_interface_block.dma_irq(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_done_irq)) @@ -47238,345 +44007,345 @@ )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_2 "gnum_interface_block.dma_ctrl_carrier_addr(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_861_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_802_b0)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_3 "gnum_interface_block.dma_ctrl_carrier_addr(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_862_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_803_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_1)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_4 "gnum_interface_block.dma_ctrl_carrier_addr(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_863_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_804_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_2)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_5 "gnum_interface_block.dma_ctrl_carrier_addr(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_864_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_805_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_3)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_6 "gnum_interface_block.dma_ctrl_carrier_addr(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_865_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_806_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_4)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_7 "gnum_interface_block.dma_ctrl_carrier_addr(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_866_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_807_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_5)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_8 "gnum_interface_block.dma_ctrl_carrier_addr(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_867_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_808_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_6)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_9 "gnum_interface_block.dma_ctrl_carrier_addr(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_868_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_809_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_7)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_10 "gnum_interface_block.dma_ctrl_carrier_addr(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_869_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_810_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_8)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_11 "gnum_interface_block.dma_ctrl_carrier_addr(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_870_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_811_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_9)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_12 "gnum_interface_block.dma_ctrl_carrier_addr(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_871_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_812_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_10)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_13 "gnum_interface_block.dma_ctrl_carrier_addr(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_872_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_813_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_11)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_14 "gnum_interface_block.dma_ctrl_carrier_addr(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_873_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_814_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_12)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_15 "gnum_interface_block.dma_ctrl_carrier_addr(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_874_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_815_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_13)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_16 "gnum_interface_block.dma_ctrl_carrier_addr(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_875_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_816_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_14)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_17 "gnum_interface_block.dma_ctrl_carrier_addr(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_876_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_817_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_15)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_18 "gnum_interface_block.dma_ctrl_carrier_addr(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_877_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_818_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_16)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_19 "gnum_interface_block.dma_ctrl_carrier_addr(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_878_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_819_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_17)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_20 "gnum_interface_block.dma_ctrl_carrier_addr(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_879_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_820_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_18)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_21 "gnum_interface_block.dma_ctrl_carrier_addr(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_880_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_821_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_19)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_22 "gnum_interface_block.dma_ctrl_carrier_addr(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_881_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_822_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_20)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_23 "gnum_interface_block.dma_ctrl_carrier_addr(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_882_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_823_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_21)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_24 "gnum_interface_block.dma_ctrl_carrier_addr(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_24)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_883_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_824_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_22)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_25 "gnum_interface_block.dma_ctrl_carrier_addr(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_884_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_825_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_23)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_26 "gnum_interface_block.dma_ctrl_carrier_addr(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_885_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_826_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_24)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_27 "gnum_interface_block.dma_ctrl_carrier_addr(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_886_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_827_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_25)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_28 "gnum_interface_block.dma_ctrl_carrier_addr(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_887_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_828_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_26)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_29 "gnum_interface_block.dma_ctrl_carrier_addr(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_888_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_829_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_27)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_30 "gnum_interface_block.dma_ctrl_carrier_addr(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_30)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_889_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_830_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_28)) )) (net (rename gnum_interface_block_dma_ctrl_carrier_addr_31 "gnum_interface_block.dma_ctrl_carrier_addr(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_890_b0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_831_b0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_29)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_0 "gnum_interface_block.dma_ctrl_host_addr_h(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_0)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_0)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_1 "gnum_interface_block.dma_ctrl_host_addr_h(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_1)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_1)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_2 "gnum_interface_block.dma_ctrl_host_addr_h(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_2)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_2)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_3 "gnum_interface_block.dma_ctrl_host_addr_h(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_3)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_3)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_4 "gnum_interface_block.dma_ctrl_host_addr_h(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_4)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_4)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_5 "gnum_interface_block.dma_ctrl_host_addr_h(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_5)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_5)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_6 "gnum_interface_block.dma_ctrl_host_addr_h(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_6)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_7 "gnum_interface_block.dma_ctrl_host_addr_h(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_7)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_7)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_8 "gnum_interface_block.dma_ctrl_host_addr_h(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_8)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_8)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_8)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_9 "gnum_interface_block.dma_ctrl_host_addr_h(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_9)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_9)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_9)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_10 "gnum_interface_block.dma_ctrl_host_addr_h(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_10)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_10)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_11 "gnum_interface_block.dma_ctrl_host_addr_h(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_11)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_11)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_1)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_12 "gnum_interface_block.dma_ctrl_host_addr_h(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_12)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_12)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_13 "gnum_interface_block.dma_ctrl_host_addr_h(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_13)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_13)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_13)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_14 "gnum_interface_block.dma_ctrl_host_addr_h(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_14)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_14)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_14)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_15 "gnum_interface_block.dma_ctrl_host_addr_h(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_15)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_15)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_15)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_16 "gnum_interface_block.dma_ctrl_host_addr_h(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_16)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_16)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_17 "gnum_interface_block.dma_ctrl_host_addr_h(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_17)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_17)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_18 "gnum_interface_block.dma_ctrl_host_addr_h(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_18)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_18)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_19 "gnum_interface_block.dma_ctrl_host_addr_h(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_19)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_19)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_20 "gnum_interface_block.dma_ctrl_host_addr_h(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_20)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_20)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_21 "gnum_interface_block.dma_ctrl_host_addr_h(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_21)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_21)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_22 "gnum_interface_block.dma_ctrl_host_addr_h(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_22)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_22)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_23 "gnum_interface_block.dma_ctrl_host_addr_h(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_23)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_23)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_23)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_24 "gnum_interface_block.dma_ctrl_host_addr_h(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_24)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_24)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_25 "gnum_interface_block.dma_ctrl_host_addr_h(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_25)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_25)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_26 "gnum_interface_block.dma_ctrl_host_addr_h(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_26)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_26)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_27 "gnum_interface_block.dma_ctrl_host_addr_h(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_27)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_27)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_28 "gnum_interface_block.dma_ctrl_host_addr_h(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_28)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_28)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_28)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_29 "gnum_interface_block.dma_ctrl_host_addr_h(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_29)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_29)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_29)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_4)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_30 "gnum_interface_block.dma_ctrl_host_addr_h(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_30)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_30)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_30)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_5)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_h_31 "gnum_interface_block.dma_ctrl_host_addr_h(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_31)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_31)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_31)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_5)) )) (net (rename gnum_interface_block_dma_ctrl_host_addr_l_0 "gnum_interface_block.dma_ctrl_host_addr_l(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_0)) @@ -47740,8 +44509,8 @@ )) (net (rename gnum_interface_block_dma_ctrl_len_2 "gnum_interface_block.dma_ctrl_len(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o5)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) )) (net (rename gnum_interface_block_dma_ctrl_len_3 "gnum_interface_block.dma_ctrl_len(3)") (joined @@ -47770,32 +44539,32 @@ )) (net (rename gnum_interface_block_dma_ctrl_len_7 "gnum_interface_block.dma_ctrl_len(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0_RNO)) + (portRef I3 (instanceRef m2_s_1_i_0_m2_cry_0_RNO)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_5)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_5)) )) (net (rename gnum_interface_block_dma_ctrl_len_8 "gnum_interface_block.dma_ctrl_len(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_8)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI7KB21_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI7KB21_8)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_6)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_6)) )) (net (rename gnum_interface_block_dma_ctrl_len_9 "gnum_interface_block.dma_ctrl_len(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI9OB21_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI9OB21_9)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_7)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_7)) )) (net (rename gnum_interface_block_dma_ctrl_len_10 "gnum_interface_block.dma_ctrl_len(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII99T_8)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNII99T_10)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_8)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_8)) )) (net (rename gnum_interface_block_dma_ctrl_len_11 "gnum_interface_block.dma_ctrl_len(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIKH9T_9)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNIKH9T_11)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_9)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_9)) )) @@ -47917,15 +44686,17 @@ (net (rename gnum_interface_block_dma_ctrl_len_31 "gnum_interface_block.dma_ctrl_len(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_31)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24_RNO)) + (portRef I1 (instanceRef m2_s_1_i_0_m2_s_24_RNO)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_29)) )) (net (rename gnum_interface_block_dma_ctrl_start_l2p "gnum_interface_block.dma_ctrl_start_l2p") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o6_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_ldmx)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_31)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_29)) @@ -47979,7 +44750,6 @@ (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_3)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_2)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_29)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_28)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_27)) @@ -48009,7 +44779,7 @@ (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_3)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_2)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_1)) - (portRef I2 (instanceRef gnum_interface_block_rst_reg_RNI133T1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4_RNIE7VI1)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7)) @@ -48017,49 +44787,50 @@ )) (net (rename gnum_interface_block_dma_ctrl_start_p2l "gnum_interface_block.dma_ctrl_start_p2l") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o6)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o5)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o6)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNIFAA6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_ldmx)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_busy_error_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_890_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_889_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_888_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_887_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_886_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_885_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_884_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_883_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_882_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_881_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_880_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_879_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_878_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_877_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_876_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_875_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_874_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_873_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_872_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_871_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_870_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_869_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_868_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_867_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_866_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_865_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_864_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_863_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_862_b0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_861_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_831_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_830_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_829_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_828_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_827_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_826_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_825_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_824_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_823_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_822_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_821_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_820_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_819_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_818_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_817_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_816_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_815_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_814_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_813_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_812_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_811_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_810_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_809_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_808_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_807_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_806_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_805_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_804_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_803_b0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_802_b0)) )) (net (rename gnum_interface_block_dma_ctrl_start_next "gnum_interface_block.dma_ctrl_start_next") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_next_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o5_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o6_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o6)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0PHI1_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_is_next_item)) @@ -48074,22 +44845,22 @@ )) (net (rename gnum_interface_block_dma_ctrl_abort "gnum_interface_block.dma_ctrl_abort") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_abort_o)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o6_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o6_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIGA462_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_completion_error_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNO_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_e)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_rx_error_t_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_a6_0_a5_7)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_valid_o_e)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_ctrl_done_t_e)) @@ -48100,11 +44871,11 @@ )) (net (rename gnum_interface_block_next_item_carrier_addr_1 "gnum_interface_block.next_item_carrier_addr(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0)) )) (net (rename gnum_interface_block_next_item_carrier_addr_2 "gnum_interface_block.next_item_carrier_addr(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_2)) )) (net (rename gnum_interface_block_next_item_carrier_addr_3 "gnum_interface_block.next_item_carrier_addr(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_3)) @@ -48112,15 +44883,15 @@ )) (net (rename gnum_interface_block_next_item_carrier_addr_4 "gnum_interface_block.next_item_carrier_addr(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_next_item_carrier_addr_5 "gnum_interface_block.next_item_carrier_addr(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_5)) )) (net (rename gnum_interface_block_next_item_carrier_addr_6 "gnum_interface_block.next_item_carrier_addr(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_5)) )) (net (rename gnum_interface_block_next_item_carrier_addr_7 "gnum_interface_block.next_item_carrier_addr(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_7)) @@ -48132,19 +44903,19 @@ )) (net (rename gnum_interface_block_next_item_carrier_addr_9 "gnum_interface_block.next_item_carrier_addr(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23)) )) (net (rename gnum_interface_block_next_item_carrier_addr_10 "gnum_interface_block.next_item_carrier_addr(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_10)) )) (net (rename gnum_interface_block_next_item_carrier_addr_11 "gnum_interface_block.next_item_carrier_addr(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_10)) )) (net (rename gnum_interface_block_next_item_carrier_addr_12 "gnum_interface_block.next_item_carrier_addr(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28)) )) (net (rename gnum_interface_block_next_item_carrier_addr_13 "gnum_interface_block.next_item_carrier_addr(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_13)) @@ -48212,15 +44983,15 @@ )) (net (rename gnum_interface_block_next_item_carrier_addr_29 "gnum_interface_block.next_item_carrier_addr(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_29)) )) (net (rename gnum_interface_block_next_item_carrier_addr_30 "gnum_interface_block.next_item_carrier_addr(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_30)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_30)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_29)) )) (net (rename gnum_interface_block_next_item_carrier_addr_31 "gnum_interface_block.next_item_carrier_addr(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_30)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_next_item_host_addr_h_0 "gnum_interface_block.next_item_host_addr_h(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_0)) @@ -48236,19 +45007,19 @@ )) (net (rename gnum_interface_block_next_item_host_addr_h_3 "gnum_interface_block.next_item_host_addr_h(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_3)) )) (net (rename gnum_interface_block_next_item_host_addr_h_4 "gnum_interface_block.next_item_host_addr_h(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_next_item_host_addr_h_5 "gnum_interface_block.next_item_host_addr_h(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_5)) )) (net (rename gnum_interface_block_next_item_host_addr_h_6 "gnum_interface_block.next_item_host_addr_h(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_5)) )) (net (rename gnum_interface_block_next_item_host_addr_h_7 "gnum_interface_block.next_item_host_addr_h(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_7)) @@ -48276,15 +45047,15 @@ )) (net (rename gnum_interface_block_next_item_host_addr_h_13 "gnum_interface_block.next_item_host_addr_h(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_13)) )) (net (rename gnum_interface_block_next_item_host_addr_h_14 "gnum_interface_block.next_item_host_addr_h(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_13)) )) (net (rename gnum_interface_block_next_item_host_addr_h_15 "gnum_interface_block.next_item_host_addr_h(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0)) )) (net (rename gnum_interface_block_next_item_host_addr_h_16 "gnum_interface_block.next_item_host_addr_h(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_16)) @@ -48296,27 +45067,27 @@ )) (net (rename gnum_interface_block_next_item_host_addr_h_18 "gnum_interface_block.next_item_host_addr_h(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_18)) )) (net (rename gnum_interface_block_next_item_host_addr_h_19 "gnum_interface_block.next_item_host_addr_h(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_next_item_host_addr_h_20 "gnum_interface_block.next_item_host_addr_h(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_20)) )) (net (rename gnum_interface_block_next_item_host_addr_h_21 "gnum_interface_block.next_item_host_addr_h(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_20)) )) (net (rename gnum_interface_block_next_item_host_addr_h_22 "gnum_interface_block.next_item_host_addr_h(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_22)) )) (net (rename gnum_interface_block_next_item_host_addr_h_23 "gnum_interface_block.next_item_host_addr_h(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_22)) )) (net (rename gnum_interface_block_next_item_host_addr_h_24 "gnum_interface_block.next_item_host_addr_h(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_24)) @@ -48328,15 +45099,15 @@ )) (net (rename gnum_interface_block_next_item_host_addr_h_26 "gnum_interface_block.next_item_host_addr_h(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_26)) )) (net (rename gnum_interface_block_next_item_host_addr_h_27 "gnum_interface_block.next_item_host_addr_h(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_26)) )) (net (rename gnum_interface_block_next_item_host_addr_h_28 "gnum_interface_block.next_item_host_addr_h(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_next_item_host_addr_h_29 "gnum_interface_block.next_item_host_addr_h(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_29)) @@ -48352,19 +45123,19 @@ )) (net (rename gnum_interface_block_next_item_host_addr_l_0 "gnum_interface_block.next_item_host_addr_l(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_next_item_host_addr_l_1 "gnum_interface_block.next_item_host_addr_l(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_2)) )) (net (rename gnum_interface_block_next_item_host_addr_l_2 "gnum_interface_block.next_item_host_addr_l(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_2)) )) (net (rename gnum_interface_block_next_item_host_addr_l_3 "gnum_interface_block.next_item_host_addr_l(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_next_item_host_addr_l_4 "gnum_interface_block.next_item_host_addr_l(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_4)) @@ -48376,23 +45147,23 @@ )) (net (rename gnum_interface_block_next_item_host_addr_l_6 "gnum_interface_block.next_item_host_addr_l(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31)) )) (net (rename gnum_interface_block_next_item_host_addr_l_7 "gnum_interface_block.next_item_host_addr_l(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_8)) )) (net (rename gnum_interface_block_next_item_host_addr_l_8 "gnum_interface_block.next_item_host_addr_l(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_8)) )) (net (rename gnum_interface_block_next_item_host_addr_l_9 "gnum_interface_block.next_item_host_addr_l(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_10)) )) (net (rename gnum_interface_block_next_item_host_addr_l_10 "gnum_interface_block.next_item_host_addr_l(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_10)) )) (net (rename gnum_interface_block_next_item_host_addr_l_11 "gnum_interface_block.next_item_host_addr_l(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_11)) @@ -48404,7 +45175,7 @@ )) (net (rename gnum_interface_block_next_item_host_addr_l_13 "gnum_interface_block.next_item_host_addr_l(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_15)) )) (net (rename gnum_interface_block_next_item_host_addr_l_14 "gnum_interface_block.next_item_host_addr_l(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_14)) @@ -48416,31 +45187,31 @@ )) (net (rename gnum_interface_block_next_item_host_addr_l_16 "gnum_interface_block.next_item_host_addr_l(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_next_item_host_addr_l_17 "gnum_interface_block.next_item_host_addr_l(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_next_item_host_addr_l_18 "gnum_interface_block.next_item_host_addr_l(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_18)) )) (net (rename gnum_interface_block_next_item_host_addr_l_19 "gnum_interface_block.next_item_host_addr_l(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_next_item_host_addr_l_20 "gnum_interface_block.next_item_host_addr_l(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_next_item_host_addr_l_21 "gnum_interface_block.next_item_host_addr_l(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_22)) )) (net (rename gnum_interface_block_next_item_host_addr_l_22 "gnum_interface_block.next_item_host_addr_l(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_22)) )) (net (rename gnum_interface_block_next_item_host_addr_l_23 "gnum_interface_block.next_item_host_addr_l(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_23)) @@ -48504,15 +45275,15 @@ )) (net (rename gnum_interface_block_next_item_len_6 "gnum_interface_block.next_item_len(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_7)) )) (net (rename gnum_interface_block_next_item_len_7 "gnum_interface_block.next_item_len(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_7)) )) (net (rename gnum_interface_block_next_item_len_8 "gnum_interface_block.next_item_len(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24)) )) (net (rename gnum_interface_block_next_item_len_9 "gnum_interface_block.next_item_len(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_9)) @@ -48524,7 +45295,7 @@ )) (net (rename gnum_interface_block_next_item_len_11 "gnum_interface_block.next_item_len(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_next_item_len_12 "gnum_interface_block.next_item_len(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_12)) @@ -48552,7 +45323,7 @@ )) (net (rename gnum_interface_block_next_item_len_18 "gnum_interface_block.next_item_len(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31)) )) (net (rename gnum_interface_block_next_item_len_19 "gnum_interface_block.next_item_len(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_19)) @@ -48608,19 +45379,19 @@ )) (net (rename gnum_interface_block_next_item_next_l_0 "gnum_interface_block.next_item_next_l(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_1)) )) (net (rename gnum_interface_block_next_item_next_l_1 "gnum_interface_block.next_item_next_l(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_1)) )) (net (rename gnum_interface_block_next_item_next_l_2 "gnum_interface_block.next_item_next_l(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_3)) )) (net (rename gnum_interface_block_next_item_next_l_3 "gnum_interface_block.next_item_next_l(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_3)) )) (net (rename gnum_interface_block_next_item_next_l_4 "gnum_interface_block.next_item_next_l(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_4)) @@ -48632,15 +45403,15 @@ )) (net (rename gnum_interface_block_next_item_next_l_6 "gnum_interface_block.next_item_next_l(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_7)) )) (net (rename gnum_interface_block_next_item_next_l_7 "gnum_interface_block.next_item_next_l(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_7)) )) (net (rename gnum_interface_block_next_item_next_l_8 "gnum_interface_block.next_item_next_l(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9)) )) (net (rename gnum_interface_block_next_item_next_l_9 "gnum_interface_block.next_item_next_l(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_9)) @@ -48684,11 +45455,11 @@ )) (net (rename gnum_interface_block_next_item_next_l_19 "gnum_interface_block.next_item_next_l(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_next_item_next_l_20 "gnum_interface_block.next_item_next_l(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_next_item_next_l_21 "gnum_interface_block.next_item_next_l(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_21)) @@ -48740,15 +45511,15 @@ )) (net (rename gnum_interface_block_next_item_next_h_1 "gnum_interface_block.next_item_next_h(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_1)) )) (net (rename gnum_interface_block_next_item_next_h_2 "gnum_interface_block.next_item_next_h(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_1)) )) (net (rename gnum_interface_block_next_item_next_h_3 "gnum_interface_block.next_item_next_h(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_3)) )) (net (rename gnum_interface_block_next_item_next_h_4 "gnum_interface_block.next_item_next_h(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_4)) @@ -48764,23 +45535,23 @@ )) (net (rename gnum_interface_block_next_item_next_h_7 "gnum_interface_block.next_item_next_h(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6)) )) (net (rename gnum_interface_block_next_item_next_h_8 "gnum_interface_block.next_item_next_h(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_8)) )) (net (rename gnum_interface_block_next_item_next_h_9 "gnum_interface_block.next_item_next_h(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_8)) )) (net (rename gnum_interface_block_next_item_next_h_10 "gnum_interface_block.next_item_next_h(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_10)) )) (net (rename gnum_interface_block_next_item_next_h_11 "gnum_interface_block.next_item_next_h(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_10)) )) (net (rename gnum_interface_block_next_item_next_h_12 "gnum_interface_block.next_item_next_h(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_12)) @@ -48788,15 +45559,15 @@ )) (net (rename gnum_interface_block_next_item_next_h_13 "gnum_interface_block.next_item_next_h(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_13)) )) (net (rename gnum_interface_block_next_item_next_h_14 "gnum_interface_block.next_item_next_h(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_13)) )) (net (rename gnum_interface_block_next_item_next_h_15 "gnum_interface_block.next_item_next_h(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_15)) )) (net (rename gnum_interface_block_next_item_next_h_16 "gnum_interface_block.next_item_next_h(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_16)) @@ -48812,31 +45583,31 @@ )) (net (rename gnum_interface_block_next_item_next_h_19 "gnum_interface_block.next_item_next_h(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_19)) )) (net (rename gnum_interface_block_next_item_next_h_20 "gnum_interface_block.next_item_next_h(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_19)) )) (net (rename gnum_interface_block_next_item_next_h_21 "gnum_interface_block.next_item_next_h(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_21)) )) (net (rename gnum_interface_block_next_item_next_h_22 "gnum_interface_block.next_item_next_h(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_21)) )) (net (rename gnum_interface_block_next_item_next_h_23 "gnum_interface_block.next_item_next_h(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_23)) )) (net (rename gnum_interface_block_next_item_next_h_24 "gnum_interface_block.next_item_next_h(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_24)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_23)) )) (net (rename gnum_interface_block_next_item_next_h_25 "gnum_interface_block.next_item_next_h(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_next_item_next_h_26 "gnum_interface_block.next_item_next_h(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_26)) @@ -48864,7 +45635,7 @@ )) (net (rename gnum_interface_block_next_item_attrib_0 "gnum_interface_block.next_item_attrib(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_next_item_attrib_1 "gnum_interface_block.next_item_attrib(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1)) @@ -48896,15 +45667,15 @@ )) (net (rename gnum_interface_block_next_item_attrib_8 "gnum_interface_block.next_item_attrib(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_9)) )) (net (rename gnum_interface_block_next_item_attrib_9 "gnum_interface_block.next_item_attrib(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_9)) )) (net (rename gnum_interface_block_next_item_attrib_10 "gnum_interface_block.next_item_attrib(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21)) )) (net (rename gnum_interface_block_next_item_attrib_11 "gnum_interface_block.next_item_attrib(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_11)) @@ -48916,7 +45687,7 @@ )) (net (rename gnum_interface_block_next_item_attrib_13 "gnum_interface_block.next_item_attrib(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12)) )) (net (rename gnum_interface_block_next_item_attrib_14 "gnum_interface_block.next_item_attrib(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_14)) @@ -48936,35 +45707,35 @@ )) (net (rename gnum_interface_block_next_item_attrib_18 "gnum_interface_block.next_item_attrib(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31)) )) (net (rename gnum_interface_block_next_item_attrib_19 "gnum_interface_block.next_item_attrib(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_next_item_attrib_20 "gnum_interface_block.next_item_attrib(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_next_item_attrib_21 "gnum_interface_block.next_item_attrib(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_22)) )) (net (rename gnum_interface_block_next_item_attrib_22 "gnum_interface_block.next_item_attrib(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_22)) )) (net (rename gnum_interface_block_next_item_attrib_23 "gnum_interface_block.next_item_attrib(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_24)) )) (net (rename gnum_interface_block_next_item_attrib_24 "gnum_interface_block.next_item_attrib(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_24)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_24)) )) (net (rename gnum_interface_block_next_item_attrib_25 "gnum_interface_block.next_item_attrib(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2)) )) (net (rename gnum_interface_block_next_item_attrib_26 "gnum_interface_block.next_item_attrib(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_26)) @@ -48976,7 +45747,7 @@ )) (net (rename gnum_interface_block_next_item_attrib_28 "gnum_interface_block.next_item_attrib(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_2)) )) (net (rename gnum_interface_block_next_item_attrib_29 "gnum_interface_block.next_item_attrib(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_29)) @@ -48996,24 +45767,22 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_30)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_29)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_29)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_29)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_29)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_28)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_28)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_27)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_27)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_4)) @@ -49024,46 +45793,48 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_26)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_25)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_7)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_7)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_8)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_23)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_9)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_21)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_10)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_10)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_21)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_20)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_11)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_19)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_19)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_12)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_19)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_19)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_19)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_12)) @@ -49072,6 +45843,8 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_18)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_18)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_17)) @@ -49088,110 +45861,108 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_15)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_15)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_15)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_15)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_14)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_17)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_14)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_17)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_17)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_17)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_18)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_11)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_20)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_20)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_11)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_10)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_9)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_22)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_22)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_9)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_8)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_23)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_23)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_7)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_7)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_7)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_7)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_6)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_25)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_25)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_26)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_26)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_26)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_27)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_27)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_28)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_28)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_28)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o6_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_30)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_30)) @@ -49238,21 +46009,20 @@ )) (net (rename gnum_interface_block_dma_ctrl_l2p_error "gnum_interface_block.dma_ctrl_l2p_error") (joined (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o)) - (portRef I2 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) + (portRef I0 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) )) (net (rename gnum_interface_block_ldm_arb_valid "gnum_interface_block.ldm_arb_valid") (joined (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) )) (net (rename gnum_interface_block_ldm_arb_dframe "gnum_interface_block.ldm_arb_dframe") (joined (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o_e)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) )) (net (rename gnum_interface_block_ldm_arb_data_0 "gnum_interface_block.ldm_arb_data(0)") (joined @@ -49367,259 +46137,124 @@ (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_27)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) )) - (net (rename gnum_interface_block_ldm_arb_data_28 "gnum_interface_block.ldm_arb_data(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) - )) - (net (rename gnum_interface_block_ldm_arb_data_29 "gnum_interface_block.ldm_arb_data(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) - )) - (net (rename gnum_interface_block_ldm_arb_data_30 "gnum_interface_block.ldm_arb_data(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) - )) - (net (rename gnum_interface_block_ldm_arb_data_31 "gnum_interface_block.ldm_arb_data(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) - )) - (net (rename gnum_interface_block_ldm_arb_req "gnum_interface_block.ldm_arb_req") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) - )) - (net (rename gnum_interface_block_arb_ldm_gnt "gnum_interface_block.arb_ldm_gnt") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5_2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o6_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) + (net (rename gnum_interface_block_ldm_arb_data_28 "gnum_interface_block.ldm_arb_data(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) + )) + (net (rename gnum_interface_block_ldm_arb_data_29 "gnum_interface_block.ldm_arb_data(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) + )) + (net (rename gnum_interface_block_ldm_arb_data_30 "gnum_interface_block.ldm_arb_data(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_30)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) + )) + (net (rename gnum_interface_block_ldm_arb_data_31 "gnum_interface_block.ldm_arb_data(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) + )) + (net (rename gnum_interface_block_ldm_arb_req "gnum_interface_block.ldm_arb_req") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) + )) + (net (rename gnum_interface_block_arb_ldm_gnt "gnum_interface_block.arb_ldm_gnt") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o5_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) )) (net (rename gnum_interface_block_l2p_edb "gnum_interface_block.l2p_edb") (joined (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o5)) (portRef D (instanceRef gnum_interface_block_l2p_edb_t)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_e)) )) (net (rename gnum_interface_block_l_wr_rdyZ0Z_0 "gnum_interface_block.l_wr_rdy(0)") (joined (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o6_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o5_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5)) )) (net (rename gnum_interface_block_l_wr_rdyZ0Z_1 "gnum_interface_block.l_wr_rdy(1)") (joined (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o6_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o5_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5)) )) (net (rename gnum_interface_block_l2p_rdy "gnum_interface_block.l2p_rdy") (joined (portRef Q (instanceRef gnum_interface_block_l2p_rdy_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o5_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_a6_0_a5_7)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) )) - (net (rename gnum_interface_block_l2p_dma_adr_0 "gnum_interface_block.l2p_dma_adr(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_0)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_0)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_1 "gnum_interface_block.l2p_dma_adr(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_1)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_1)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_2 "gnum_interface_block.l2p_dma_adr(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_2)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_2)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_3 "gnum_interface_block.l2p_dma_adr(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_3)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_3)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_4 "gnum_interface_block.l2p_dma_adr(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_4)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_4)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_5 "gnum_interface_block.l2p_dma_adr(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_5)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_5)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_6 "gnum_interface_block.l2p_dma_adr(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_6)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_6)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_7 "gnum_interface_block.l2p_dma_adr(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_7)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_7)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_8 "gnum_interface_block.l2p_dma_adr(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_8)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_8)) - )) - (net (rename gnum_interface_block_l2p_dma_adr_9 "gnum_interface_block.l2p_dma_adr(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_9)) - (portRef I0 (instanceRef gnum_interface_block_dma_adr_o_9)) - )) - (net (rename gnum_interface_block_l2p_dma_stb "gnum_interface_block.l2p_dma_stb") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_sel_o_1_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0)) - )) (net (rename gnum_interface_block_l2p_dma_cyc "gnum_interface_block.l2p_dma_cyc") (joined (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_cyc_t)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o6)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o5)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_9)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_8)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_7)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_6)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_5)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_4)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_3)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_2)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_1)) - (portRef I2 (instanceRef gnum_interface_block_dma_adr_o_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_cyc_t_e)) )) (net (rename gnum_interface_block_p2l_dma_cyc "gnum_interface_block.p2l_dma_cyc") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_cyc_t)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i_lut6_2_o6)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o5)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_9)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_8)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_7)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_6)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_5)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_4)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_3)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_2)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_1)) - (portRef I3 (instanceRef gnum_interface_block_dma_adr_o_0)) )) (net (rename gnum_interface_block_dma_ctrl_p2l_done "gnum_interface_block.dma_ctrl_p2l_done") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_ctrl_done_o)) @@ -49631,13 +46266,13 @@ )) (net (rename gnum_interface_block_pdm_arb_valid "gnum_interface_block.pdm_arb_valid") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_valid_o)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_valid_o_e)) )) (net (rename gnum_interface_block_pdm_arb_dframe "gnum_interface_block.pdm_arb_dframe") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_dframe_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_dframe_o_e)) )) @@ -49709,11088 +46344,12240 @@ (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_16)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) )) - (net (rename gnum_interface_block_pdm_arb_data_17 "gnum_interface_block.pdm_arb_data(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) + (net (rename gnum_interface_block_pdm_arb_data_17 "gnum_interface_block.pdm_arb_data(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) + )) + (net (rename gnum_interface_block_pdm_arb_data_18 "gnum_interface_block.pdm_arb_data(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) + )) + (net (rename gnum_interface_block_pdm_arb_data_19 "gnum_interface_block.pdm_arb_data(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) + )) + (net (rename gnum_interface_block_pdm_arb_data_20 "gnum_interface_block.pdm_arb_data(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) + )) + (net (rename gnum_interface_block_pdm_arb_data_21 "gnum_interface_block.pdm_arb_data(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) + )) + (net (rename gnum_interface_block_pdm_arb_data_22 "gnum_interface_block.pdm_arb_data(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) + )) + (net (rename gnum_interface_block_pdm_arb_data_23 "gnum_interface_block.pdm_arb_data(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) + )) + (net (rename gnum_interface_block_pdm_arb_data_24 "gnum_interface_block.pdm_arb_data(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) + )) + (net (rename gnum_interface_block_pdm_arb_data_25 "gnum_interface_block.pdm_arb_data(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) + )) + (net (rename gnum_interface_block_pdm_arb_data_26 "gnum_interface_block.pdm_arb_data(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) + )) + (net (rename gnum_interface_block_pdm_arb_data_27 "gnum_interface_block.pdm_arb_data(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) + )) + (net (rename gnum_interface_block_pdm_arb_data_28 "gnum_interface_block.pdm_arb_data(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) + )) + (net (rename gnum_interface_block_pdm_arb_data_29 "gnum_interface_block.pdm_arb_data(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) + )) + (net (rename gnum_interface_block_pdm_arb_data_30 "gnum_interface_block.pdm_arb_data(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_30)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) + )) + (net (rename gnum_interface_block_pdm_arb_data_31 "gnum_interface_block.pdm_arb_data(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) + )) + (net (rename gnum_interface_block_pdm_arb_req "gnum_interface_block.pdm_arb_req") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_e)) + )) + (net (rename gnum_interface_block_arb_pdm_gnt "gnum_interface_block.arb_pdm_gnt") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o6_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_valid_o_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_dframe_o_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_e)) + )) + (net (rename gnum_interface_block_arb_ser_valid "gnum_interface_block.arb_ser_valid") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_o)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_dframe "gnum_interface_block.arb_ser_dframe") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_o)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_0 "gnum_interface_block.arb_ser_data(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_0)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_1 "gnum_interface_block.arb_ser_data(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_2 "gnum_interface_block.arb_ser_data(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_2)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_3 "gnum_interface_block.arb_ser_data(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_3)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_4 "gnum_interface_block.arb_ser_data(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_4)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_5 "gnum_interface_block.arb_ser_data(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_5)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_6 "gnum_interface_block.arb_ser_data(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_6)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_7 "gnum_interface_block.arb_ser_data(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_7)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_8 "gnum_interface_block.arb_ser_data(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_8)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_9 "gnum_interface_block.arb_ser_data(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_9)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_10 "gnum_interface_block.arb_ser_data(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_10)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_11 "gnum_interface_block.arb_ser_data(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_11)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_12 "gnum_interface_block.arb_ser_data(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_12)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_13 "gnum_interface_block.arb_ser_data(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_13)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_14 "gnum_interface_block.arb_ser_data(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_14)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_15 "gnum_interface_block.arb_ser_data(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_15)) + (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_16 "gnum_interface_block.arb_ser_data(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_17 "gnum_interface_block.arb_ser_data(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_17)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_18 "gnum_interface_block.arb_ser_data(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_18)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_19 "gnum_interface_block.arb_ser_data(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_19)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_20 "gnum_interface_block.arb_ser_data(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_20)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_21 "gnum_interface_block.arb_ser_data(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_21)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_22 "gnum_interface_block.arb_ser_data(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_22)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_23 "gnum_interface_block.arb_ser_data(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_23)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_24 "gnum_interface_block.arb_ser_data(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_24)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_25 "gnum_interface_block.arb_ser_data(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_25)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_26 "gnum_interface_block.arb_ser_data(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_26)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_27 "gnum_interface_block.arb_ser_data(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_27)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_28 "gnum_interface_block.arb_ser_data(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_28)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_29 "gnum_interface_block.arb_ser_data(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_29)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_30 "gnum_interface_block.arb_ser_data(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_30)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_arb_ser_data_31 "gnum_interface_block.arb_ser_data(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) + (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_valid_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_valid_out.tx_data_out(0)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_dframe_out.tx_data_out(0)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_8 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(8)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_5 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(5)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_6 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(6)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_13 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(13)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_7 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(7)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_2 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(2)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_14 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(14)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_15 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(15)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_4 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(4)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_1 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(1)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_10 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(10)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_3 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(3)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_11 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(11)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(0)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_9 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(9)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_12 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(12)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_ser_cmp_clk_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_clk_out.tx_data_out(0)") (joined + (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) + (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_io_clk_out)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop "gnum_interface_block.cmp_l2p_arbiter.un12_eop") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_wbm_gnt)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_tZ0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_dframe_t") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_o)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_tZ0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_valid_t") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_o)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_0)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_0)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_1 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_1)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_2 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_2)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_2)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_3 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_3)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_4)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_5 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_5)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_6 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_6)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_7 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_7)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_7)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_8 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_8)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_8)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_9 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_9)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_9)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_10 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_10)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_10)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_11 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_11)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_11)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_12 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_12)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_12)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_13 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_13)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_13)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_14 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_14)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_14)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_15 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_15)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_15)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_16 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_16)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_17 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_17)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_17)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_18 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_18)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_19 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_19)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_19)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_20 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_20)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_20)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_21 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_21)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_21)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_22 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_22)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_22)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_23 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_23)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_23)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_24 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_24)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_24)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_25 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_25)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_25)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_26 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_26)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_26)) )) - (net (rename gnum_interface_block_pdm_arb_data_18 "gnum_interface_block.pdm_arb_data(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_27 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_27)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_27)) )) - (net (rename gnum_interface_block_pdm_arb_data_19 "gnum_interface_block.pdm_arb_data(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_28 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_28)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_28)) )) - (net (rename gnum_interface_block_pdm_arb_data_20 "gnum_interface_block.pdm_arb_data(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_29 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_29)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_29)) )) - (net (rename gnum_interface_block_pdm_arb_data_21 "gnum_interface_block.pdm_arb_data(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_30 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_30)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_30)) )) - (net (rename gnum_interface_block_pdm_arb_data_22 "gnum_interface_block.pdm_arb_data(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_31 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_31)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) )) - (net (rename gnum_interface_block_pdm_arb_data_23 "gnum_interface_block.pdm_arb_data(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) + (net (rename gnum_interface_block_cmp_p2l_decode32_des_p2l_dframe_dZ0 "gnum_interface_block.cmp_p2l_decode32.des_p2l_dframe_d") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_des_p2l_dframe_d)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) )) - (net (rename gnum_interface_block_pdm_arb_data_24 "gnum_interface_block.pdm_arb_data(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_24)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p2l_data_cycleZ0 "gnum_interface_block.cmp_p2l_decode32.p2l_data_cycle") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) )) - (net (rename gnum_interface_block_pdm_arb_data_25 "gnum_interface_block.pdm_arb_data(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) + (net (rename gnum_interface_block_cmp_p2l_decode32_des_p2l_valid_dZ0 "gnum_interface_block.cmp_p2l_decode32.des_p2l_valid_d") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_des_p2l_valid_d)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6)) )) - (net (rename gnum_interface_block_pdm_arb_data_26 "gnum_interface_block.pdm_arb_data(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycleZ0 "gnum_interface_block.cmp_p2l_decode32.p2l_addr_cycle") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o5_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_31)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_29)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_25)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_19)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_e_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_RNIRJ1A1_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_2_RNO)) )) - (net (rename gnum_interface_block_pdm_arb_data_27 "gnum_interface_block.pdm_arb_data(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_data_decode_p2l_d_valid_1 "gnum_interface_block.cmp_p2l_decode32.p_data_decode.p2l_d_valid_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_valid)) )) - (net (rename gnum_interface_block_pdm_arb_data_28 "gnum_interface_block.pdm_arb_data(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p2l_packet_start "gnum_interface_block.cmp_p2l_decode32.p2l_packet_start") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o6)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_hdr_cid_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_hdr_cid_1)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_hdr_strobe)) )) - (net (rename gnum_interface_block_pdm_arb_data_29 "gnum_interface_block.pdm_arb_data(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_data_decode_p2l_d_last_1 "gnum_interface_block.cmp_p2l_decode32.p_data_decode.p2l_d_last_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_last)) )) - (net (rename gnum_interface_block_pdm_arb_data_30 "gnum_interface_block.pdm_arb_data(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_30)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un12_p2l_addr_cycle "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un12_p2l_addr_cycle") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_start)) )) - (net (rename gnum_interface_block_pdm_arb_data_31 "gnum_interface_block.pdm_arb_data(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end "gnum_interface_block.cmp_p2l_decode32.p_type_decode.un3_p2l_packet_end") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mrd)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld)) )) - (net (rename gnum_interface_block_pdm_arb_req "gnum_interface_block.pdm_arb_req") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_e)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_validZ0 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un4_p2l_d_valid") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_21)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_22)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_23)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_24)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_25)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_26)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_27)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_28)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_29)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_30)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_31)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_9)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_10)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_11)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_12)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_13)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_14)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_15)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_16)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_17)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_18)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_19)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_2)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_e_0)) )) - (net (rename gnum_interface_block_arb_pdm_gnt "gnum_interface_block.arb_pdm_gnt") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o5_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o6_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_valid_o_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_dframe_o_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_e)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_3 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(3)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_3)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_3)) )) - (net (rename gnum_interface_block_p2l_dma_adr_0 "gnum_interface_block.p2l_dma_adr(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_0)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_0)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_4 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(4)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_4)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_4)) )) - (net (rename gnum_interface_block_p2l_dma_adr_1 "gnum_interface_block.p2l_dma_adr(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_1)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_1)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_5 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(5)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_5)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) )) - (net (rename gnum_interface_block_p2l_dma_adr_2 "gnum_interface_block.p2l_dma_adr(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_2)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_2)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_6 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(6)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_6)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_6)) )) - (net (rename gnum_interface_block_p2l_dma_adr_3 "gnum_interface_block.p2l_dma_adr(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_3)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_3)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_7 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(7)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_7)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_7)) )) - (net (rename gnum_interface_block_p2l_dma_adr_4 "gnum_interface_block.p2l_dma_adr(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_4)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_4)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_8 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(8)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_8)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_8)) )) - (net (rename gnum_interface_block_p2l_dma_adr_5 "gnum_interface_block.p2l_dma_adr(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_5)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_9 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(9)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_9)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_9)) )) - (net (rename gnum_interface_block_p2l_dma_adr_6 "gnum_interface_block.p2l_dma_adr(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_6)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_6)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_10 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(10)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_10)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_10)) )) - (net (rename gnum_interface_block_p2l_dma_adr_7 "gnum_interface_block.p2l_dma_adr(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_7)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_7)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_11 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(11)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_11)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_11)) )) - (net (rename gnum_interface_block_p2l_dma_adr_8 "gnum_interface_block.p2l_dma_adr(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_8)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_8)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_12 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(12)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_12)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_12)) )) - (net (rename gnum_interface_block_p2l_dma_adr_9 "gnum_interface_block.p2l_dma_adr(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_9)) - (portRef I1 (instanceRef gnum_interface_block_dma_adr_o_9)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_13 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(13)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_13)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_13)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_0 "gnum_interface_block.p2l_dma_dat_m2s(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_0)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_14 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(14)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_14)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_14)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_1 "gnum_interface_block.p2l_dma_dat_m2s(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_1)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_15 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(15)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_15)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_15)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_2 "gnum_interface_block.p2l_dma_dat_m2s(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_2)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_16 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(16)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_16)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_16)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_3 "gnum_interface_block.p2l_dma_dat_m2s(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_3)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_17 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(17)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_17)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_17)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_4 "gnum_interface_block.p2l_dma_dat_m2s(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_4)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_18 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(18)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_18)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_18)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_5 "gnum_interface_block.p2l_dma_dat_m2s(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_5)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_19 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(19)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_19)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_19)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_6 "gnum_interface_block.p2l_dma_dat_m2s(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_6)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_6_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_20 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(20)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_20)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_7 "gnum_interface_block.p2l_dma_dat_m2s(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_7)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_21 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(21)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_21)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_21)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_8 "gnum_interface_block.p2l_dma_dat_m2s(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_8)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_3_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_22 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(22)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_22)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_22)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_9 "gnum_interface_block.p2l_dma_dat_m2s(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_9)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_9_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_23 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(23)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_23)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_23)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_10 "gnum_interface_block.p2l_dma_dat_m2s(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_10)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_10_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_24 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(24)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_24)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_24)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_11 "gnum_interface_block.p2l_dma_dat_m2s(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_25 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(25)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_25)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_25)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_12 "gnum_interface_block.p2l_dma_dat_m2s(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_12)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_26 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(26)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_26)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_26)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_13 "gnum_interface_block.p2l_dma_dat_m2s(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_13)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_5_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_27 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(27)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_27)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_27)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_14 "gnum_interface_block.p2l_dma_dat_m2s(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_14)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_28 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(28)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_28)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_28)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_15 "gnum_interface_block.p2l_dma_dat_m2s(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_15)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_29 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(29)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_29)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_29)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_16 "gnum_interface_block.p2l_dma_dat_m2s(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_16)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_30 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(30)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_30)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_30)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_17 "gnum_interface_block.p2l_dma_dat_m2s(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_17)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_31 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(31)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_31)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_31)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_18 "gnum_interface_block.p2l_dma_dat_m2s(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_18)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_7_lut6_2_o5)) + (net (rename gnum_interface_block_p_rd_d_rdy_tZ0Z_0 "gnum_interface_block.p_rd_d_rdy_t(0)") (joined + (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t_0)) + (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_t2_0)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_19 "gnum_interface_block.p2l_dma_dat_m2s(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_19)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_19_lut6_2_o6)) + (net (rename gnum_interface_block_p_rd_d_rdy_t2Z0Z_0 "gnum_interface_block.p_rd_d_rdy_t2(0)") (joined + (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t2_0)) + (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_0)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_20 "gnum_interface_block.p2l_dma_dat_m2s(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_20)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o6)) + (net (rename gnum_interface_block_p_rd_d_rdy_tZ0Z_1 "gnum_interface_block.p_rd_d_rdy_t(1)") (joined + (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t_1)) + (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_t2_1)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_21 "gnum_interface_block.p2l_dma_dat_m2s(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_21)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o6)) + (net (rename gnum_interface_block_p_rd_d_rdy_t2Z0Z_1 "gnum_interface_block.p_rd_d_rdy_t2(1)") (joined + (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t2_1)) + (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_1)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_22 "gnum_interface_block.p2l_dma_dat_m2s(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_22)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_20_lut6_2_o5)) + (net (rename gnum_interface_block_l_wr_rdy_tZ0Z_0 "gnum_interface_block.l_wr_rdy_t(0)") (joined + (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t_0)) + (portRef D (instanceRef gnum_interface_block_l_wr_rdy_t2_0)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_23 "gnum_interface_block.p2l_dma_dat_m2s(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_23)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_21_lut6_2_o5)) + (net (rename gnum_interface_block_l_wr_rdy_t2Z0Z_0 "gnum_interface_block.l_wr_rdy_t2(0)") (joined + (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t2_0)) + (portRef D (instanceRef gnum_interface_block_l_wr_rdy_0)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_24 "gnum_interface_block.p2l_dma_dat_m2s(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_24)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_24_lut6_2_o6)) + (net (rename gnum_interface_block_l_wr_rdy_tZ0Z_1 "gnum_interface_block.l_wr_rdy_t(1)") (joined + (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t_1)) + (portRef D (instanceRef gnum_interface_block_l_wr_rdy_t2_1)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_25 "gnum_interface_block.p2l_dma_dat_m2s(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_25)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_25_lut6_2_o6)) + (net (rename gnum_interface_block_l_wr_rdy_t2Z0Z_1 "gnum_interface_block.l_wr_rdy_t2(1)") (joined + (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t2_1)) + (portRef D (instanceRef gnum_interface_block_l_wr_rdy_1)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_26 "gnum_interface_block.p2l_dma_dat_m2s(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_26)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_26_lut6_2_o6)) + (net (rename gnum_interface_block_l2p_rdy_tZ0 "gnum_interface_block.l2p_rdy_t") (joined + (portRef Q (instanceRef gnum_interface_block_l2p_rdy_t)) + (portRef D (instanceRef gnum_interface_block_l2p_rdy_t2)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_27 "gnum_interface_block.p2l_dma_dat_m2s(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_27)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_27_lut6_2_o6)) + (net (rename gnum_interface_block_l2p_rdy_tZ0Z2 "gnum_interface_block.l2p_rdy_t2") (joined + (portRef Q (instanceRef gnum_interface_block_l2p_rdy_t2)) + (portRef D (instanceRef gnum_interface_block_l2p_rdy_0)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_28 "gnum_interface_block.p2l_dma_dat_m2s(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_28)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o5)) + (net (rename gnum_interface_block_l2p_edb_tZ0 "gnum_interface_block.l2p_edb_t") (joined + (portRef Q (instanceRef gnum_interface_block_l2p_edb_t)) + (portRef D (instanceRef gnum_interface_block_l2p_edb_t2)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_29 "gnum_interface_block.p2l_dma_dat_m2s(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_29)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_29_lut6_2_o6)) + (net (rename gnum_interface_block_l2p_edb_tZ0Z2 "gnum_interface_block.l2p_edb_t2") (joined + (portRef Q (instanceRef gnum_interface_block_l2p_edb_t2)) + (portRef D (instanceRef gnum_interface_block_l2p_edb_o)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_30 "gnum_interface_block.p2l_dma_dat_m2s(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_30)) - (portRef I0 (instanceRef gnum_interface_block_p_dma_wb_mux_un2_p2l_dma_cyc_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(0)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_0)) )) - (net (rename gnum_interface_block_p2l_dma_dat_m2s_31 "gnum_interface_block.p2l_dma_dat_m2s(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_31)) - (portRef I0 (instanceRef gnum_interface_block_dma_dat_o_31_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_1 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(1)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_1)) )) - (net (rename gnum_interface_block_p2l_dma_stb "gnum_interface_block.p2l_dma_stb") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_sel_o_1_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_2 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(2)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_2)) )) - (net (rename gnum_interface_block_arb_ser_valid "gnum_interface_block.arb_ser_valid") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_o)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_3 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(3)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) )) - (net (rename gnum_interface_block_arb_ser_dframe "gnum_interface_block.arb_ser_dframe") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_o)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(4)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_4)) )) - (net (rename gnum_interface_block_arb_ser_data_0 "gnum_interface_block.arb_ser_data(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_0)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_5 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(5)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5)) )) - (net (rename gnum_interface_block_arb_ser_data_1 "gnum_interface_block.arb_ser_data(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_6 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(6)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_6)) )) - (net (rename gnum_interface_block_arb_ser_data_2 "gnum_interface_block.arb_ser_data(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_2)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_7 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(7)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_7)) )) - (net (rename gnum_interface_block_arb_ser_data_3 "gnum_interface_block.arb_ser_data(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_3)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_8 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(8)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_8)) )) - (net (rename gnum_interface_block_arb_ser_data_4 "gnum_interface_block.arb_ser_data(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_4)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_9 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(9)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_9)) )) - (net (rename gnum_interface_block_arb_ser_data_5 "gnum_interface_block.arb_ser_data(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_5)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_10 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(10)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_10)) )) - (net (rename gnum_interface_block_arb_ser_data_6 "gnum_interface_block.arb_ser_data(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_6)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_11 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(11)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_11)) )) - (net (rename gnum_interface_block_arb_ser_data_7 "gnum_interface_block.arb_ser_data(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_7)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_12 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(12)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_12)) )) - (net (rename gnum_interface_block_arb_ser_data_8 "gnum_interface_block.arb_ser_data(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_8)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_13 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(13)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_13)) )) - (net (rename gnum_interface_block_arb_ser_data_9 "gnum_interface_block.arb_ser_data(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_9)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_14 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(14)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_14)) )) - (net (rename gnum_interface_block_arb_ser_data_10 "gnum_interface_block.arb_ser_data(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_10)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_15 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(15)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_15)) )) - (net (rename gnum_interface_block_arb_ser_data_11 "gnum_interface_block.arb_ser_data(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_11)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_16 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(16)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_16)) )) - (net (rename gnum_interface_block_arb_ser_data_12 "gnum_interface_block.arb_ser_data(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_12)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_17 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(17)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_17)) )) - (net (rename gnum_interface_block_arb_ser_data_13 "gnum_interface_block.arb_ser_data(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_13)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_18 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(18)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) )) - (net (rename gnum_interface_block_arb_ser_data_14 "gnum_interface_block.arb_ser_data(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_14)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_19 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(19)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_19)) )) - (net (rename gnum_interface_block_arb_ser_data_15 "gnum_interface_block.arb_ser_data(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_15)) - (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_20 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(20)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_20)) )) - (net (rename gnum_interface_block_arb_ser_data_16 "gnum_interface_block.arb_ser_data(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_21 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(21)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_21)) )) - (net (rename gnum_interface_block_arb_ser_data_17 "gnum_interface_block.arb_ser_data(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_17)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_22 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(22)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_22)) )) - (net (rename gnum_interface_block_arb_ser_data_18 "gnum_interface_block.arb_ser_data(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_18)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_23 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(23)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_23)) )) - (net (rename gnum_interface_block_arb_ser_data_19 "gnum_interface_block.arb_ser_data(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_19)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_24 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(24)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_24)) )) - (net (rename gnum_interface_block_arb_ser_data_20 "gnum_interface_block.arb_ser_data(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_20)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_25 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(25)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_25)) )) - (net (rename gnum_interface_block_arb_ser_data_21 "gnum_interface_block.arb_ser_data(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_21)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_26 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(26)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_26)) )) - (net (rename gnum_interface_block_arb_ser_data_22 "gnum_interface_block.arb_ser_data(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_22)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_27 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(27)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_27)) )) - (net (rename gnum_interface_block_arb_ser_data_23 "gnum_interface_block.arb_ser_data(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_23)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_28 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(28)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_28)) )) - (net (rename gnum_interface_block_arb_ser_data_24 "gnum_interface_block.arb_ser_data(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_24)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_29 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(29)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_29)) )) - (net (rename gnum_interface_block_arb_ser_data_25 "gnum_interface_block.arb_ser_data(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_25)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_30 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(30)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_30)) )) - (net (rename gnum_interface_block_arb_ser_data_26 "gnum_interface_block.arb_ser_data(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_26)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_31 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(31)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_31)) )) - (net (rename gnum_interface_block_arb_ser_data_27 "gnum_interface_block.arb_ser_data(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_27)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_tZ0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_valid_t_4") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t)) )) - (net (rename gnum_interface_block_arb_ser_data_28 "gnum_interface_block.arb_ser_data(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_28)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_tZ0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_dframe_t_4") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t)) )) - (net (rename gnum_interface_block_arb_ser_data_29 "gnum_interface_block.arb_ser_data(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_29)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) + (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_0 "cmp_carrier_csr.rddata_reg_22(0)") (joined + (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_0)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_0)) )) - (net (rename gnum_interface_block_arb_ser_data_30 "gnum_interface_block.arb_ser_data(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_30)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) + (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_1 "cmp_carrier_csr.rddata_reg_22(1)") (joined + (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_1)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_1)) )) - (net (rename gnum_interface_block_arb_ser_data_31 "gnum_interface_block.arb_ser_data(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) - (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) + (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_2 "cmp_carrier_csr.rddata_reg_22(2)") (joined + (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_2)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_valid_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_valid_out.tx_data_out(0)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_valid_out_loop0_0_io_clk_out)) + (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_3 "cmp_carrier_csr.rddata_reg_22(3)") (joined + (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_3)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_3)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_dframe_out.tx_data_out(0)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_dframe_out_loop0_0_io_clk_out)) + (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_16 "cmp_carrier_csr.rddata_reg_22(16)") (joined + (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_16)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_16)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_8 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(8)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_8_io_clk_out)) + (net N_410 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_4)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_5 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(5)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_5_io_clk_out)) + (net N_421 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_5)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_6 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(6)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_6_io_clk_out)) + (net N_432 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_6)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_13 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(13)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_13_io_clk_out)) + (net N_443 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_7)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_7 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(7)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_7_io_clk_out)) + (net N_454 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_8)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_2 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(2)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_2_io_clk_out)) + (net N_465 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_9)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_14 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(14)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_14_io_clk_out)) + (net N_476 (joined + (portRef O (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o5_7)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_10)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_15 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(15)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_15_io_clk_out)) + (net N_487 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_11)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_4 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(4)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_4_io_clk_out)) + (net N_498 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_12)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_1 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(1)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_1_io_clk_out)) + (net N_509 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_13)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_10 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(10)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_10_io_clk_out)) + (net N_520 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_14)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_3 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(3)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_3_io_clk_out)) + (net N_531 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_15)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_11 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(11)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_11_io_clk_out)) + (net N_542 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_17)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(0)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_0_io_clk_out)) + (net N_553 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_18)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_9 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(9)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_9_io_clk_out)) + (net N_564 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_19)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_data_out_tx_data_out_12 "gnum_interface_block.cmp_l2p_ser.cmp_data_out.tx_data_out(12)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_data_out_loop0_12_io_clk_out)) + (net N_575 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_20)) )) - (net (rename gnum_interface_block_cmp_l2p_ser_cmp_clk_out_tx_data_out_0 "gnum_interface_block.cmp_l2p_ser.cmp_clk_out.tx_data_out(0)") (joined - (portRef OQ (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_io_clk_out)) + (net N_586 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_21)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop "gnum_interface_block.cmp_l2p_arbiter.un12_eop") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt_RNO)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_wbm_gnt)) + (net N_597 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_22)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_tZ0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_dframe_t") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_o)) + (net N_608 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_23)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_tZ0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_valid_t") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_o)) + (net N_619 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_24)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_0)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_0)) + (net N_630 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_25)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_1 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) + (net N_641 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_26)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_2 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_2)) + (net N_652 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_27)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_3 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_3)) + (net N_663 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_28)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_4)) + (net N_674 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_29)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_5 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_5)) + (net N_685 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_30)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_6 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_6)) + (net N_696 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) + (portRef D (instanceRef cmp_carrier_csr_rddata_reg_31)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_7 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_7)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_7)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_bus_wen_1 "cmp_carrier_onewire.U_Wrapped_1W.bus_wen_1") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o6)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o5)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o6)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_856_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_850_m2)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_866_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_867_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_869_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_858_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_857_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_863_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_870_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_859_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_861_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_862_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_865_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_871_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_864_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_860_m1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_868_m1)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_8 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_8)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_8)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_9 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_9)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_bus_wen_pwr_sel_1") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_10 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_10)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_10)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovdZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_ovd") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o6)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o5_4)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o6_4)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_11 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_11)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_11)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(0)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI60D91_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIFUSK2_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_12 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_12)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_12)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(1)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o6_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI60D91_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIGUSK2_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_13 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_13)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_13)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(2)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI60D91_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_850_m2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e_RNO)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_14 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_14)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_14)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(3)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o5_4)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI60D91_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIK7JS2_3)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_15 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_15)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_15)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(4)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o5_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o6_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o6_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIL7JS2_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_16 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_16)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(5)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_5)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o5_5)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o6_5)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIM7JS2_5)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_17 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_17)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_17)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(6)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_6)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o5_5)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o6)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o6_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIN7JS2_6)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_18 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_18)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(7)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o5_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e_RNO)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_19 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_19)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_19)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_cdr_o") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_20 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_20)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_20)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(0)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_21 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_21)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_21)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(1)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_22 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_22)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_22)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(2)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_23 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_23)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_23)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(3)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_24 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_24)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_24)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(4)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_25 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_25)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_25)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(5)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_1)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_5)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_26 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_26)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_26)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(6)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_27 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_27)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_27)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(7)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_28 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_28)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_28)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(8)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_8)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_29 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_29)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_29)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(9)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_9)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_30 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_30)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_30)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(10)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_10)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_tZ0Z_31 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_31)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(11)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_11)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_des_p2l_dframe_dZ0 "gnum_interface_block.cmp_p2l_decode32.des_p2l_dframe_d") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_des_p2l_dframe_d)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(12)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_12)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p2l_data_cycleZ0 "gnum_interface_block.cmp_p2l_decode32.p2l_data_cycle") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(13)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_13)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_des_p2l_valid_dZ0 "gnum_interface_block.cmp_p2l_decode32.des_p2l_valid_d") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_des_p2l_valid_d)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(14)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_14)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycleZ0 "gnum_interface_block.cmp_p2l_decode32.p2l_addr_cycle") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_31)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_30)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_cycle_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_e_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_data_cycle_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_RNIRJ1A1_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_2_RNO)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(15)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_data_decode_p2l_d_valid_1 "gnum_interface_block.cmp_p2l_decode32.p_data_decode.p2l_d_valid_1") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_valid)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(0)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_16)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p2l_packet_start "gnum_interface_block.cmp_p2l_decode32.p2l_packet_start") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_hdr_cid_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_hdr_cid_1)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_hdr_strobe)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(1)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_17)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_data_decode_p2l_d_last_1 "gnum_interface_block.cmp_p2l_decode32.p_data_decode.p2l_d_last_1") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_packet_start_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_last)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(2)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_18)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un12_p2l_addr_cycle "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un12_p2l_addr_cycle") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_start)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(3)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_19)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end "gnum_interface_block.cmp_p2l_decode32.p_type_decode.un3_p2l_packet_end") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_type_decode_un3_p2l_packet_end_lut6_2_o6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mrd)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(4)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_20)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un4_p2l_d_valid") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un4_p2l_d_valid_lut6_2_o6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_30)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_31)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_e_0)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(5)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_21)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_3 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_3)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_3)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(6)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_22)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_4 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_4)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_4)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(7)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_23)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_5 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(8)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_24)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_6 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_6)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_6)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(9)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_1)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_25)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_7 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_7)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_7)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(10)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_26)) + )) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(11)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_1)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_27)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_8 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_8)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_8)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(12)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_28)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_9 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_9)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_9)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(13)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_29)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_10 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_10)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_10)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(14)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_30)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_11 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_11)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_11)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(15)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_12 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_12)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_12)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_enaZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.irq_ena") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_13 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_13)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_13)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_stsZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_14 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_14)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_14)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rstZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o6)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_15 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_15)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_15)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_datZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_16 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_16)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_16)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cycZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o6)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_17 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_17)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_17)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smpZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_18 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_18)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_18)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o5)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o6)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_856_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_866_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_867_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_869_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_858_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_857_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_863_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_870_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_859_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_861_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_862_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_865_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_871_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_864_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_860_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_868_m1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_19 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_19)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_19)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(0)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_856_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_20 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_20)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(1)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_857_m1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_21 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_21)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_21)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(2)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_858_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_22 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_22)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_22)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(3)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_859_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_23 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_23)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_23)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(4)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_860_m1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_24 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_24)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_24)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(5)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_861_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_25 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_25)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_25)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(6)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_862_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_26 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_26)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_26)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(7)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_863_m1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_27 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_27)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_27)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(8)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_864_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_28 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_28)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_28)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(9)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_865_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_0)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_29 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_29)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_29)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(10)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_866_m1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_30 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_30)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_30)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(11)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_867_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_0)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_31 "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.p2l_addr_4(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_31)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_31)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(12)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_868_m1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) )) - (net (rename gnum_interface_block_p_rd_d_rdy_tZ0Z_0 "gnum_interface_block.p_rd_d_rdy_t(0)") (joined - (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t_0)) - (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_t2_0)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(13)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_869_m1)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) )) - (net (rename gnum_interface_block_p_rd_d_rdy_t2Z0Z_0 "gnum_interface_block.p_rd_d_rdy_t2(0)") (joined - (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t2_0)) - (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_0)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(14)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_870_m1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) )) - (net (rename gnum_interface_block_p_rd_d_rdy_tZ0Z_1 "gnum_interface_block.p_rd_d_rdy_t(1)") (joined - (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t_1)) - (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_t2_1)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(15)") (joined + (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_871_m1)) )) - (net (rename gnum_interface_block_p_rd_d_rdy_t2Z0Z_1 "gnum_interface_block.p_rd_d_rdy_t2(1)") (joined - (portRef Q (instanceRef gnum_interface_block_p_rd_d_rdy_t2_1)) - (portRef D (instanceRef gnum_interface_block_p_rd_d_rdy_1)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(0)") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) )) - (net (rename gnum_interface_block_l_wr_rdy_tZ0Z_0 "gnum_interface_block.l_wr_rdy_t(0)") (joined - (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t_0)) - (portRef D (instanceRef gnum_interface_block_l_wr_rdy_t2_0)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(1)") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) )) - (net (rename gnum_interface_block_l_wr_rdy_t2Z0Z_0 "gnum_interface_block.l_wr_rdy_t2(0)") (joined - (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t2_0)) - (portRef D (instanceRef gnum_interface_block_l_wr_rdy_0)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(2)") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) )) - (net (rename gnum_interface_block_l_wr_rdy_tZ0Z_1 "gnum_interface_block.l_wr_rdy_t(1)") (joined - (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t_1)) - (portRef D (instanceRef gnum_interface_block_l_wr_rdy_t2_1)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(3)") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) )) - (net (rename gnum_interface_block_l_wr_rdy_t2Z0Z_1 "gnum_interface_block.l_wr_rdy_t2(1)") (joined - (portRef Q (instanceRef gnum_interface_block_l_wr_rdy_t2_1)) - (portRef D (instanceRef gnum_interface_block_l_wr_rdy_1)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(4)") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) )) - (net (rename gnum_interface_block_l2p_rdy_tZ0 "gnum_interface_block.l2p_rdy_t") (joined - (portRef Q (instanceRef gnum_interface_block_l2p_rdy_t)) - (portRef D (instanceRef gnum_interface_block_l2p_rdy_t2)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(0)") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) )) - (net (rename gnum_interface_block_l2p_rdy_tZ0Z2 "gnum_interface_block.l2p_rdy_t2") (joined - (portRef Q (instanceRef gnum_interface_block_l2p_rdy_t2)) - (portRef D (instanceRef gnum_interface_block_l2p_rdy_0)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(1)") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) )) - (net (rename gnum_interface_block_l2p_edb_tZ0 "gnum_interface_block.l2p_edb_t") (joined - (portRef Q (instanceRef gnum_interface_block_l2p_edb_t)) - (portRef D (instanceRef gnum_interface_block_l2p_edb_t2)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_2") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) )) - (net (rename gnum_interface_block_l2p_edb_tZ0Z2 "gnum_interface_block.l2p_edb_t2") (joined - (portRef Q (instanceRef gnum_interface_block_l2p_edb_t2)) - (portRef D (instanceRef gnum_interface_block_l2p_edb_o)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_7") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_0 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_0)) + (net (rename cmp_vic_U_Wrapped_VIC_irqs_i_regZ0Z_0 "cmp_vic.U_Wrapped_VIC.irqs_i_reg(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o6_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o6_1)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_e_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_1 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_1)) + (net (rename cmp_vic_U_Wrapped_VIC_irqs_i_regZ0Z_1 "cmp_vic.U_Wrapped_VIC.irqs_i_reg(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o6_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o6_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_e_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_2 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_enable "cmp_vic.U_Wrapped_VIC.vic_ctl_enable") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_enable_int)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o5_2)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o6_2)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o5)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o5_1)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o6_1)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) + (portRef I3 (instanceRef swi_mask_1_sqmuxa_i)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_e_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_3 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_pol "cmp_vic.U_Wrapped_VIC.vic_ctl_pol") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_pol_int)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_4)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_edge "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_edge") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_edge_int)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o5)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_2)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_5 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_0 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_3)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_6 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_6)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_1 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_1)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_7 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_7)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_7)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_2 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(2)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_2)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_5)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_8 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_8)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_8)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_3 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(3)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_3)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_6)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_9 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_9)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_4 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(4)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_4)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_7)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_10 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(10)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_10)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_10)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_5 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(5)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_5)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_8)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_11 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(11)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_11)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_11)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_6 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(6)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_6)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_9)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_12 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(12)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_12)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_12)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_7 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(7)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_7)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_10)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_13 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(13)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_13)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_13)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_8 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(8)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_8)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_11)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_14 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(14)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_14)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_14)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_9 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(9)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_9)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_12)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_15 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(15)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_15)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_15)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_10 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(10)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_10)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_13)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_16 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(16)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_16)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_16)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_11 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(11)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_11)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_14)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_17 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(17)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_17)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_17)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_12 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(12)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_12)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_15)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_18 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(18)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_18)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_13 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(13)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_13)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_16)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_19 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(19)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_19)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_19)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_14 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(14)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_14)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_17)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_20 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(20)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_20)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_20)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_15 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(15)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_15)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43_RNO)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_18)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_21 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(21)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_21)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_21)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ier_wr "cmp_vic.U_Wrapped_VIC.vic_ier_wr") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_1)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_22 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(22)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_22)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_22)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_idr_wr "cmp_vic.U_Wrapped_VIC.vic_idr_wr") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_23 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(23)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_23)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_23)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_imrZ0Z_0 "cmp_vic.U_Wrapped_VIC.vic_imr(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_24 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(24)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_24)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_24)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_imrZ0Z_1 "cmp_vic.U_Wrapped_VIC.vic_imr(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_1)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_25 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(25)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_25)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_25)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_0 "cmp_vic.U_Wrapped_VIC.vic_var(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o6_0)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_26 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(26)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_26)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_26)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_1 "cmp_vic.U_Wrapped_VIC.vic_var(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o6_1)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_27 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(27)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_27)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_27)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_2 "cmp_vic.U_Wrapped_VIC.vic_var(2)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_2)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_28 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(28)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_28)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_28)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_3 "cmp_vic.U_Wrapped_VIC.vic_var(3)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_3)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_3)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_29 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(29)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_29)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_29)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_4 "cmp_vic.U_Wrapped_VIC.vic_var(4)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_4)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_30 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(30)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_30)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_30)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_5 "cmp_vic.U_Wrapped_VIC.vic_var(5)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_5)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_5)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5Z0Z_31 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_data_t_5(31)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5_31)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_31)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_6 "cmp_vic.U_Wrapped_VIC.vic_var(6)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_6)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_6)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_tZ0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_valid_t_4") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_t)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_7 "cmp_vic.U_Wrapped_VIC.vic_var(7)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_7)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_7)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_tZ0Z_4 "gnum_interface_block.cmp_l2p_arbiter.arb_ser_dframe_t_4") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_8 "cmp_vic.U_Wrapped_VIC.vic_var(8)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_8)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_8)) )) - (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_0 "cmp_carrier_csr.rddata_reg_22(0)") (joined - (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_0)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_9 "cmp_vic.U_Wrapped_VIC.vic_var(9)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_9)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_9)) )) - (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_1 "cmp_carrier_csr.rddata_reg_22(1)") (joined - (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_1)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_10 "cmp_vic.U_Wrapped_VIC.vic_var(10)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_10)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_10)) )) - (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_2 "cmp_carrier_csr.rddata_reg_22(2)") (joined - (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_11 "cmp_vic.U_Wrapped_VIC.vic_var(11)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_11)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_11)) )) - (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_3 "cmp_carrier_csr.rddata_reg_22(3)") (joined - (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_3)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_3)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_12 "cmp_vic.U_Wrapped_VIC.vic_var(12)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_12)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_12)) )) - (net (rename cmp_carrier_csr_rddata_reg_22Z0Z_16 "cmp_carrier_csr.rddata_reg_22(16)") (joined - (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_22_16)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_16)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_13 "cmp_vic.U_Wrapped_VIC.vic_var(13)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_13)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_13)) )) - (net (rename dma_adr_0 "dma_adr(0)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_0)) - (portRef addrb_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_14 "cmp_vic.U_Wrapped_VIC.vic_var(14)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_14)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_14)) )) - (net (rename dma_adr_1 "dma_adr(1)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_1)) - (portRef addrb_1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_15 "cmp_vic.U_Wrapped_VIC.vic_var(15)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_15)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_15)) )) - (net (rename dma_adr_2 "dma_adr(2)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_2)) - (portRef addrb_2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_16 "cmp_vic.U_Wrapped_VIC.vic_var(16)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_16)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_16)) )) - (net (rename dma_adr_3 "dma_adr(3)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_3)) - (portRef addrb_3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_17 "cmp_vic.U_Wrapped_VIC.vic_var(17)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_17)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_17)) )) - (net (rename dma_adr_4 "dma_adr(4)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_4)) - (portRef addrb_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_18 "cmp_vic.U_Wrapped_VIC.vic_var(18)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_18)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_18)) )) - (net (rename dma_adr_5 "dma_adr(5)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_5)) - (portRef addrb_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_19 "cmp_vic.U_Wrapped_VIC.vic_var(19)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_19)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_19)) )) - (net (rename dma_adr_6 "dma_adr(6)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_6)) - (portRef addrb_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_20 "cmp_vic.U_Wrapped_VIC.vic_var(20)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_20)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_20)) )) - (net (rename dma_adr_7 "dma_adr(7)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_7)) - (portRef addrb_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_21 "cmp_vic.U_Wrapped_VIC.vic_var(21)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_21)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o5_21)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) )) - (net (rename dma_adr_8 "dma_adr(8)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_8)) - (portRef addrb_8 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_22 "cmp_vic.U_Wrapped_VIC.vic_var(22)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_22)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_22)) )) - (net (rename dma_adr_9 "dma_adr(9)") (joined - (portRef O (instanceRef gnum_interface_block_dma_adr_o_9)) - (portRef addrb_9 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_23 "cmp_vic.U_Wrapped_VIC.vic_var(23)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_23)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_23)) )) - (net N_1070 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_5)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_24 "cmp_vic.U_Wrapped_VIC.vic_var(24)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_24)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_24)) )) - (net N_1103 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_8)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_25 "cmp_vic.U_Wrapped_VIC.vic_var(25)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_25)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_25)) )) - (net N_1125 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_10)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_26 "cmp_vic.U_Wrapped_VIC.vic_var(26)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_26)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_26)) )) - (net N_1136 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_11)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_27 "cmp_vic.U_Wrapped_VIC.vic_var(27)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_27)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_27)) )) - (net N_1147 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_12)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_28 "cmp_vic.U_Wrapped_VIC.vic_var(28)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_28)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_28)) )) - (net N_1158 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_13)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_29 "cmp_vic.U_Wrapped_VIC.vic_var(29)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_29)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_29)) )) - (net N_1169 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_14)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_30 "cmp_vic.U_Wrapped_VIC.vic_var(30)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_30)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_30)) )) - (net N_1180 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_15)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_31 "cmp_vic.U_Wrapped_VIC.vic_var(31)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_31)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_31)) )) - (net N_1191 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_17)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_swir_wr "cmp_vic.U_Wrapped_VIC.vic_swir_wr") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o)) + (portRef I0 (instanceRef swi_mask_1_sqmuxa_i)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) )) - (net N_1202 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_18)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_eoir_wr "cmp_vic.U_Wrapped_VIC.vic_eoir_wr") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o5_2)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) + (portRef I2 (instanceRef swi_mask_1_sqmuxa_i)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) )) - (net N_1213 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_19)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_0 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(0)") (joined + (portRef DOB_0 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) )) - (net N_1224 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_20)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_1 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(1)") (joined + (portRef DOB_1 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) )) - (net N_1235 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_21)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_2 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(2)") (joined + (portRef DOB_2 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) )) - (net N_1246 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_22)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_3 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(3)") (joined + (portRef DOB_3 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) )) - (net N_1257 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_23)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_4 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(4)") (joined + (portRef DOB_4 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) )) - (net N_1268 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_24)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_5 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(5)") (joined + (portRef DOB_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) )) - (net N_1279 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_25)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_6 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(6)") (joined + (portRef DOB_6 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) )) - (net N_1290 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_26)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_7 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(7)") (joined + (portRef DOB_7 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) )) - (net N_1301 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_27)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_8 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(8)") (joined + (portRef DOB_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) )) - (net N_1312 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_28)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_9 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(9)") (joined + (portRef DOB_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_3)) )) - (net N_1323 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_29)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_10 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(10)") (joined + (portRef DOB_10 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_3)) )) - (net N_1334 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_30)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_11 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(11)") (joined + (portRef DOB_11 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) )) - (net N_1345 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_31)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_12 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(12)") (joined + (portRef DOB_12 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_un2_wb_cyc_iZ0Z_0 "cmp_tdc.cmp_tdc_eic.un2_wb_cyc_i(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_13 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(13)") (joined + (portRef DOB_13 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_imr_int_0 "cmp_tdc.cmp_tdc_eic.eic_imr_int(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_14 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(14)") (joined + (portRef DOB_14 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_imr_int_1 "cmp_tdc.cmp_tdc_eic.eic_imr_int(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_15 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(15)") (joined + (portRef DOB_15 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_imr_int_2 "cmp_tdc.cmp_tdc_eic.eic_imr_int(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_16 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(16)") (joined + (portRef DOB_16 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_ier_write_intZ0 "cmp_tdc.cmp_tdc_eic.eic_ier_write_int") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_17 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(17)") (joined + (portRef DOB_17 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_idr_write_intZ0 "cmp_tdc.cmp_tdc_eic.eic_idr_write_int") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_18 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(18)") (joined + (portRef DOB_18 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_status_int_0 "cmp_tdc.cmp_tdc_eic.eic_isr_status_int(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_5_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_19 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(19)") (joined + (portRef DOB_19 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o5_19)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_status_int_1 "cmp_tdc.cmp_tdc_eic.eic_isr_status_int(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_20 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(20)") (joined + (portRef DOB_20 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o5_20)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_status_int_2 "cmp_tdc.cmp_tdc_eic.eic_isr_status_int(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_21 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(21)") (joined + (portRef DOB_21 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o5_21)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_write_intZ0 "cmp_tdc.cmp_tdc_eic.eic_isr_write_int") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_22 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(22)") (joined + (portRef DOB_22 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o5_22)) )) - (net (rename cmp_tdc_cmp_tdc_eic_ack_in_progressZ0 "cmp_tdc.cmp_tdc_eic.ack_in_progress") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_23 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(23)") (joined + (portRef DOB_23 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o5_23)) )) - (net (rename cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxaZ0 "cmp_tdc.cmp_tdc_eic.ack_in_progress_0_sqmuxa") (joined - (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_2)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_24 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(24)") (joined + (portRef DOB_24 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_5_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_25 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(25)") (joined + (portRef DOB_25 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o6_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_26 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(26)") (joined + (portRef DOB_26 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2Z0Z_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_27 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(27)") (joined + (portRef DOB_27 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o5_27)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_5_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_28 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(28)") (joined + (portRef DOB_28 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_29 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(29)") (joined + (portRef DOB_29 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o5_29)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1Z0Z_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_30 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(30)") (joined + (portRef DOB_30 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_31 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(31)") (joined + (portRef DOB_31 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_wr "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_wr") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) + (portRef WEB_3 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef WEB_2 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef WEB_1 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef WEB_0 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0Z0Z_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) + (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_0 "cmp_vic.U_Wrapped_VIC.state(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o5)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d0_5(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_0)) + (net (rename cmp_vic_U_Wrapped_VIC_current_irq_0 "cmp_vic.U_Wrapped_VIC.current_irq(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_0)) + (portRef ADDRA_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_e_0)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d0_9(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_1)) + (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_2 "cmp_vic.U_Wrapped_VIC.state(2)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o5_2)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o6_2)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) + (portRef I1 (instanceRef swi_mask_1_sqmuxa_i)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_13_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0_13(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_0 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(0)") (joined + (portRef DOA_0 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_0)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d1_5(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_1 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(1)") (joined + (portRef DOA_1 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_1)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d1_9(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_2 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(2)") (joined + (portRef DOA_2 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_2)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_13_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_13(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_3 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(3)") (joined + (portRef DOA_3 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d2_4(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_4 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(4)") (joined + (portRef DOA_4 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_10(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_5 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(5)") (joined + (portRef DOA_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_19(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_6 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(6)") (joined + (portRef DOA_6 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_28_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_pending_28(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_7 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(7)") (joined + (portRef DOA_7 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_7)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_21(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_8 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(8)") (joined + (portRef DOA_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_8)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_21(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_9 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(9)") (joined + (portRef DOA_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_21(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_10 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(10)") (joined + (portRef DOA_10 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_wen_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_wen_1") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o5)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1035_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1036_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1034_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1033_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1044_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1046_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1047_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1048_m1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1038_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1039_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1043_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1045_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1042_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1037_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1040_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1041_m1)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_ack)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_11 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(11)") (joined + (portRef DOA_11 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_11)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_12 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(12)") (joined + (portRef DOA_12 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_selZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_bus_wen_pwr_sel_1") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_13 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(13)") (joined + (portRef DOA_13 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_13)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovdZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_ovd") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o5_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_12)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_12)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_14 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(14)") (joined + (portRef DOA_14 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o6)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIOVTB1_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_15 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(15)") (joined + (portRef DOA_15 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_15)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o6)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_16 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(16)") (joined + (portRef DOA_16 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_16)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS5NF_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_17 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(17)") (joined + (portRef DOA_17 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_17)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(3)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o5)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o6)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI593D2_3)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_18 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(18)") (joined + (portRef DOA_18 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(4)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o6)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS83D2_4)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_19 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(19)") (joined + (portRef DOA_19 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_19)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(5)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS5NF_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIT83D2_5)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_20 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(20)") (joined + (portRef DOA_20 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(6)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS5NF_2)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIU83D2_6)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_21 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(21)") (joined + (portRef DOA_21 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(7)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS5NF_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_22 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(22)") (joined + (portRef DOA_22 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_22)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_oZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_cdr_o") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_23 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(23)") (joined + (portRef DOA_23 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_23)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_24 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(24)") (joined + (portRef DOA_24 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_24)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_25 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(25)") (joined + (portRef DOA_25 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o5_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_26 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(26)") (joined + (portRef DOA_26 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_26)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(3)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_27 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(27)") (joined + (portRef DOA_27 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_27)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(4)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_28 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(28)") (joined + (portRef DOA_28 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(5)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_29 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(29)") (joined + (portRef DOA_29 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_29)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(6)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_30 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(30)") (joined + (portRef DOA_30 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_30)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(7)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_31 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(31)") (joined + (portRef DOA_31 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_31)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(8)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_8)) + (net (rename cmp_vic_U_Wrapped_VIC_swi_maskZ0Z_0 "cmp_vic.U_Wrapped_VIC.swi_mask(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(9)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_9)) + (net (rename cmp_vic_U_Wrapped_VIC_swi_maskZ0Z_1 "cmp_vic.U_Wrapped_VIC.swi_mask(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(10)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_10)) + (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_3 "cmp_vic.U_Wrapped_VIC.state(3)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_3)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o5_2)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(11)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_12)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_11)) + (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_4 "cmp_vic.U_Wrapped_VIC.state(4)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o6_1)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_e_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(12)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_12)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_12)) + (net (rename cmp_vic_U_Wrapped_VIC_p_register_irq_lines_irqs_i_reg_2_0 "cmp_vic.U_Wrapped_VIC.p_register_irq_lines.irqs_i_reg_2(0)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(13)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_13)) + (net (rename cmp_vic_U_Wrapped_VIC_p_register_irq_lines_irqs_i_reg_2_1 "cmp_vic.U_Wrapped_VIC.p_register_irq_lines.irqs_i_reg_2(1)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_1)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(14)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_14)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_4)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(15)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_15)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_0 "cmp_vic.U_Wrapped_VIC.timeout_count(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_0)) + (portRef I (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_s_0)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO)) + (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_s_1)) + (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_1)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_16)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_1 "cmp_vic.U_Wrapped_VIC.timeout_count(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIH399_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_1)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_17)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_2 "cmp_vic.U_Wrapped_VIC.timeout_count(2)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_2)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNII799_2)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_18)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_3 "cmp_vic.U_Wrapped_VIC.timeout_count(3)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_3)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIJB99_3)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(3)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_1)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_19)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_4 "cmp_vic.U_Wrapped_VIC.timeout_count(4)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_4)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIKF99_4)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(4)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_20)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_5 "cmp_vic.U_Wrapped_VIC.timeout_count(5)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_5)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNILJ99_5)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(5)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_21)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_6 "cmp_vic.U_Wrapped_VIC.timeout_count(6)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_6)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIMN99_6)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(6)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_22)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_7 "cmp_vic.U_Wrapped_VIC.timeout_count(7)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_7)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNINR99_7)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(7)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_23)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_8 "cmp_vic.U_Wrapped_VIC.timeout_count(8)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_8)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIOV99_8)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(8)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_24)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_9 "cmp_vic.U_Wrapped_VIC.timeout_count(9)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_9)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIP3A9_9)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(9)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_25)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_10 "cmp_vic.U_Wrapped_VIC.timeout_count(10)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_10)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNINIIA_10)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(10)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_26)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_11 "cmp_vic.U_Wrapped_VIC.timeout_count(11)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_11)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIOIIA_11)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(11)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_12)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_27)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_12 "cmp_vic.U_Wrapped_VIC.timeout_count(12)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_12)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIPIIA_12)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(12)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_12)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_28)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_13 "cmp_vic.U_Wrapped_VIC.timeout_count(13)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_13)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIQIIA_13)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(13)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_29)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_14 "cmp_vic.U_Wrapped_VIC.timeout_count(14)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_14)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIRIIA_14)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(14)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_30)) + (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_15 "cmp_vic.U_Wrapped_VIC.timeout_count(15)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_15)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43_RNO)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_s_RNO_15)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(15)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_31)) + (net (rename cmp_vic_U_Wrapped_VIC_swi_mask_6_0 "cmp_vic.U_Wrapped_VIC.swi_mask_6(0)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_enaZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.irq_ena") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) + (net (rename cmp_vic_U_Wrapped_VIC_swi_mask_6_1 "cmp_vic.U_Wrapped_VIC.swi_mask_6(1)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_1)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_stsZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_1 "cmp_vic.U_Wrapped_VIC.state(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o5_1)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIOVTB1_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI593D2_3)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS83D2_4)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIT83D2_5)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIU83D2_6)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_un2_wb_cyc_i_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.un2_wb_cyc_i(0)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o5_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rstZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o5)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progressZ0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o5_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o6_0)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o5)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_datZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(0)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cycZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_1 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(1)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smpZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_2 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(2)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_2)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1035_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1036_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1034_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1033_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1044_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1046_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1047_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1048_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1038_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1039_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1043_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1045_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1042_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1037_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1040_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1041_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_3 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(3)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_3)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(0)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1033_m1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_4 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(4)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(1)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1034_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(5)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(2)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1035_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(6)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(3)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1036_m1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_7 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(7)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_7)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(4)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1037_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_8 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(8)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_8)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(5)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1038_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_9 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(9)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_9)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(6)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1039_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_10 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(10)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_10)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(7)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1040_m1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_11 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(11)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_11)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(8)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1041_m1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_12 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(12)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_12)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(9)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1042_m1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_13 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(13)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_13)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(10)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1043_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_14 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(14)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_14)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(11)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1044_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_15 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(15)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_15)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(12)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1045_m1)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_16 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(16)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_16)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(13)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1046_m1)) - (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_17 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(17)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_17)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(14)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1047_m1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_18 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(18)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_18)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(15)") (joined - (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1048_m1)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(19)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_19)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o5_19)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_0_sqmuxa") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(20)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_20)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o5_20)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_dive "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.dive") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o5)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) - (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_21 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(21)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_21)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o5_21)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(22)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_22)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o5_22)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(23)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_23)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o5_23)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_24 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(24)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_24)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(3)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_25 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(25)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_25)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(4)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_26 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(26)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_26)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_4") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(27)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_27)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o5_27)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_11") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_28 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(28)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_28)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_25 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_25") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_29 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(29)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_29)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o5_29)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_32 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_32") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_30 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(30)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_30)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_31 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(31)") (joined + (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_31)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_edge_int_0_sqmuxa "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_ctl_emu_edge_int_0_sqmuxa") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o5)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_0)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_1)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_2)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_3)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_4)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_5)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_6)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_7)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_8)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_9)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_10)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_11)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_12)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_13)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_14)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_15)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_pol_int)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_enable_int)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_edge_int)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_2") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_0 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(0)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1)) + (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_7") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_1 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(1)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11)) + (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_14") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_2 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(2)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19)) + (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_RNIEA8F2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNIA1PP2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_e_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIBR5L2_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDR5L2_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFR5L2_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIHR5L2_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJR5L2_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILR5L2_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNINR5L2_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIPR5L2_7)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIRR5L2_8)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNITR5L2_9)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDIMS2_10)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFQMS2_11)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIH2NS2_12)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJANS2_13)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILINS2_14)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_3 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(3)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27)) + (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIBR5L2_0)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_4 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(4)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35)) + (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDR5L2_1)) + (net (rename cmp_dma_eic_un2_wb_cyc_i_0 "cmp_dma_eic.un2_wb_cyc_i(0)") (joined + (portRef O (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o5)) + (portRef D (instanceRef cmp_dma_eic_ack_in_progress)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI68PC1_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFR5L2_2)) + (net (rename cmp_dma_eic_eic_imr_int_0 "cmp_dma_eic.eic_imr_int(0)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_dma_eic_rddata_reg_96_0)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8CPC1_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIHR5L2_3)) + (net (rename cmp_dma_eic_eic_imr_int_1 "cmp_dma_eic.eic_imr_int(1)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_1)) + (portRef I1 (instanceRef cmp_dma_eic_rddata_reg_96_1)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAGPC1_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJR5L2_4)) + (net (rename cmp_dma_eic_eic_ier_write_intZ0 "cmp_dma_eic.eic_ier_write_int") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_ier_write_int)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) + (portRef I0 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICKPC1_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILR5L2_5)) + (net (rename cmp_dma_eic_eic_idr_write_intZ0 "cmp_dma_eic.eic_idr_write_int") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_idr_write_int)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) + (portRef I0 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIEOPC1_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNINR5L2_6)) + (net (rename cmp_dma_eic_eic_isr_status_int_0 "cmp_dma_eic.eic_isr_status_int(0)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_0)) + (portRef I0 (instanceRef cmp_dma_eic_rddata_reg_96_0)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_wb_irq_o_RNO)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIGSPC1_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIPR5L2_7)) + (net (rename cmp_dma_eic_eic_isr_status_int_1 "cmp_dma_eic.eic_isr_status_int(1)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_1)) + (portRef I0 (instanceRef cmp_dma_eic_rddata_reg_96_1)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_wb_irq_o_RNO)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(8)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_8)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII0QC1_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIRR5L2_8)) + (net (rename cmp_dma_eic_eic_isr_write_intZ0 "cmp_dma_eic.eic_isr_write_int") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_isr_write_int)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) + (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) + (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (portRef I2 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(9)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_9)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIK4QC1_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNITR5L2_9)) + (net (rename cmp_dma_eic_ack_in_progressZ0 "cmp_dma_eic.ack_in_progress") (joined + (portRef Q (instanceRef cmp_dma_eic_ack_in_progress)) + (portRef I0 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o5)) + (portRef I0 (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o6_1)) + (portRef I1 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) + (portRef I0 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) + (portRef I1 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(10)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_10)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITT3L1_8)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDIMS2_10)) + (net (rename cmp_dma_eic_ack_in_progress_0_sqmuxa "cmp_dma_eic.ack_in_progress_0_sqmuxa") (joined + (portRef O (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o6_1)) + (portRef I5 (instanceRef cmp_dma_eic_rddata_reg_96_0)) + (portRef I5 (instanceRef cmp_dma_eic_rddata_reg_96_1)) + (portRef D (instanceRef cmp_dma_eic_ack_sreg_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(11)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_11)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIV54L1_9)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFQMS2_11)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2(0)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_0)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(12)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_12)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8C8C1_10)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIH2NS2_12)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2(1)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_1)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(13)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAG8C1_11)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJANS2_13)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d1Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d1(0)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_0)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(14)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_14)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICK8C1_12)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILINS2_14)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d1Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d1(1)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_1)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(15)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_15)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0(0)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_0)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0(1)") (joined + (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_1)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_go_1_i_0_o2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d0_5(0)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_go_1_i_0_o2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0_9(1)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_go_1_i_0_o2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d1_5(0)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_e_3)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d1_9(1)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1_a2_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_0)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_pending_10(0)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_pending_19Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_pending_19(1)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0 "cmp_dma_eic.eic_irq_controller_inst.irq_mask_15(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_mask_15Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_mask_15(1)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_0)) + (portRef din_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1)) + (portRef din_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_2)) + (portRef din_2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_3)) + (portRef din_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_done "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.done") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_irq_flag_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_go_1_i_0_o2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_4)) + (portRef din_4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irxack "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.irxack") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxack)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_5)) + (portRef din_5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_i2c_busy "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.i2c_busy") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_6)) + (portRef din_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_i2c_al "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.i2c_al") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o5_16)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o6_16)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o5_17)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_16)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_7)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_irq_flag_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_7)) + (portRef din_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1_a2_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_8)) + (portRef din_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_9)) + (portRef din_9 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_10)) + (portRef din_10 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_11)) + (portRef din_11 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_12)) + (portRef din_12 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_13)) + (portRef din_13 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_14)) + (portRef din_14 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_15)) + (portRef din_15 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_irq_flag_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_16)) + (portRef din_16 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxackZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxack") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxack)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_17)) + (portRef din_17 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.tip_1") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_tip)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_18)) + (portRef din_18 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_19)) + (portRef din_19 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flagZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.irq_flag") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_irq_flag_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_20)) + (portRef din_20 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_alZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.al") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_al)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_21)) + (portRef din_21 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_tipZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.tip") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_tip)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_22)) + (portRef din_22 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_alZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.al_2") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_al)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_23)) + (portRef din_23 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_irq_flagZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.irq_flag_1") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_irq_flag_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_24)) + (portRef din_24 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_25)) + (portRef din_25 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_26)) + (portRef din_26 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_27)) + (portRef din_27 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_28)) + (portRef din_28 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_29)) + (portRef din_29 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wrZ0 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_wr") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) + (portRef wr_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7Z0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(0)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_full "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_full") (joined + (portRef prog_full (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4_RNIE7VI1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(1)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_empty "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_empty") (joined + (portRef empty (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(0)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_full "gnum_interface_block.cmp_l2p_dma_master.data_fifo_full") (joined + (portRef prog_full (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(1)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_0 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(0)") (joined + (portRef dout_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(2)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_1 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(1)") (joined + (portRef dout_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(3)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_3)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_2 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(2)") (joined + (portRef dout_2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(4)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_4)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_3 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(3)") (joined + (portRef dout_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(5)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_4 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(4)") (joined + (portRef dout_4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(6)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_5 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(5)") (joined + (portRef dout_5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(7)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_6 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(6)") (joined + (portRef dout_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_7 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(7)") (joined + (portRef dout_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_8 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(8)") (joined + (portRef dout_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o5_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o6_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_16)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e_RNO)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_11)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2_17)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_9 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(9)") (joined + (portRef dout_9 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o5_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_16)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_11)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2_17)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_10 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(10)") (joined + (portRef dout_10 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o5_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o6_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_16)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e_RNO)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_11 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(11)") (joined + (portRef dout_11 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o5_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_16)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_12 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(12)") (joined + (portRef dout_12 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_ack "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_ack") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o6_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o6_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o5)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_13 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(13)") (joined + (portRef dout_13 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_txdZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_txd") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_txd)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO)) - (portRef I0 (instanceRef nxt_state_decoder_isda_oen_4_iv_i)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_14 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(14)") (joined + (portRef dout_14 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_rxd "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_rxd") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1_a2_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_15 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(15)") (joined + (portRef dout_15 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcntZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o5_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_16 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(16)") (joined + (portRef dout_16 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcntZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_17 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(17)") (joined + (portRef dout_17 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcntZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_18 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(18)") (joined + (portRef dout_18 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ldZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.ld") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ld)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1_a2_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_19 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(19)") (joined + (portRef dout_19 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shiftZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_20 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(20)") (joined + (portRef dout_20 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_21 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(21)") (joined + (portRef dout_21 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_22 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(22)") (joined + (portRef dout_22 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o6_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_23 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(23)") (joined + (portRef dout_23 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_24 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(24)") (joined + (portRef dout_24 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_25 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(25)") (joined + (portRef dout_25 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_26 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(26)") (joined + (portRef dout_26 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxaZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_5_sqmuxa") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_5_sqmuxa)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_27 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(27)") (joined + (portRef dout_27 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.ld_5") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ld)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_28 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(28)") (joined + (portRef dout_28 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txdZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.core_txd_7") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_txd)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_29 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(29)") (joined + (portRef dout_29 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(0)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_30 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(30)") (joined + (portRef dout_30 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(2)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_31 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(31)") (joined + (portRef dout_31 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(3)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_rdZ0 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_rd") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) + (portRef rd_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(5)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_empty "gnum_interface_block.cmp_l2p_dma_master.data_fifo_empty") (joined + (portRef empty (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o5_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o6_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCLZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSCL") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIKTS91)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_cry_RNO_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIBR5L2_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIC43B_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDR5L2_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNID43B_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFR5L2_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIE43B_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIHR5L2_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIF43B_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJR5L2_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIG43B_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILR5L2_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIH43B_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNINR5L2_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNII43B_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIPR5L2_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIJ43B_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(8)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_8)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIRR5L2_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIK43B_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(9)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_9)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNITR5L2_9)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNISLRE_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(10)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_10)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDIMS2_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNITPRE_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(11)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_11)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFQMS2_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIUTRE_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(12)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_12)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIH2NS2_12)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIV1SE_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(13)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_13)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJANS2_13)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI06SE_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(14)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_14)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILINS2_14)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI1ASE_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(15)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_15)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI2ESE_16)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSCLZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sSCL") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSCL)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIKTS91)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI3ISE_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dscl_oenZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dscl_oen") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dscl_oen)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI4MSE_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI68PC1_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI5QSE_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8CPC1_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNITLRE_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAGPC1_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIUPRE_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICKPC1_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIVTRE_22)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIEOPC1_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI02SE_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_4_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIGSPC1_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI16SE_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII0QC1_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI2ASE_25)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIK4QC1_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI3ESE_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_4_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(8)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_8)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITT3L1_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI4ISE_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_4_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(9)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_9)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIV54L1_9)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI5MSE_28)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(10)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_10)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8C8C1_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_s_RNO_29)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(11)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_11)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAG8C1_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o6_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(12)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_12)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICK8C1_12)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(13)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_13)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSDAZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sSDA") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSDA)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o5_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDAZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSDA") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sto_conditionZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sto_condition") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sto_condition)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy_RNO)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o6_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_clk_enZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.clk_en") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_clk_en)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o5_16)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o6_16)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o6_17)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_16)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_7)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stopZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_stop") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(17)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_17)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o5_16)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o6_16)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o5_17)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o6_17)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCLZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSCL(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCL_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_9)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCLZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSCL(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCL_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_10)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCLZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSCL(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCL_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_11)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDAZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDAZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDAZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sta_conditionZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sta_condition") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sta_condition)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_15)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chkZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sda_chk") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o6_16)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_16 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(16)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_16)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o6_16)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_16)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIFOB01_15)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o6_17)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(3)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o5_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o6_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIHEQ51_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_18)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(2)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o5_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o6_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o5_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_18)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o5_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o6_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_20)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o5_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIHEQ51_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIV75T_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(12)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_12)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) - (portRef I1 (instanceRef nxt_state_decoder_isda_oen_4_iv_i)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIHEQ51_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIV75T_8)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(11)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_11)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o5_13)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o6_13)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(7)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_7)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIHEQ51_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIFOB01_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o5_16)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(4)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_4)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIHEQ51_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIV75T_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(14)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_14)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o5_13)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o6_13)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(13)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_13)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o5_13)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o6_13)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(10)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_10)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17)) - (portRef I2 (instanceRef nxt_state_decoder_isda_oen_4_iv_i)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(9)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_9)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o5_13)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o6_13)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait_1_1") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNO)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_30)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCLZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSCL(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCLZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSCL(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o5_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3(0)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3(1)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDAZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSDA(0)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o5_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o5_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDAZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSDA(1)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_1)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o5_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o6_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2(0)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o6_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packetZ0 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o5_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o5_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2(1)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o5_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_validZ0 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_valid") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.detect_sta_sto.sta_condition_2") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sta_condition)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o5_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o6_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNI84NB_7)) + (portRef I0 (instanceRef m2_s_1_i_0_m2_cry_0_RNO)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI7KB21_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI9OB21_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNII99T_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNIKH9T_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFGVP_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIHKVP_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJOVP_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILSVP_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIN00Q_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP40Q_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR80Q_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNITC0Q_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIM8VP_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIOCVP_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJKVP_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILOVP_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINSVP_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP00Q_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR40Q_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIT80Q_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIVC0Q_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI1H0Q_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII88E_28)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) + (portRef I2 (instanceRef m2_s_1_i_0_m2_s_24_RNO)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_e)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_31)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_30)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_29)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_28)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_27)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_26)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_25)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_24)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_23)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_22)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_21)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_20)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_19)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_18)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_17)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_16)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_15)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_14)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_13)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_11)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_9)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_29)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_25)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_19)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_29)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_25)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_19)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_cry_7_RNO)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sto_condition_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.detect_sta_sto.sto_condition_2") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA_RNIBAP71_o6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sto_condition)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_addressZ0 "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o6_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_un1_rstZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.un1_rst") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_un1_rst)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_validZ0 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_valid") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_valid)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o5)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(15)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_15)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIFOB01_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o5_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o6_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o5_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o6_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o5_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o6_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o6_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o5_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o6_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o6_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o6_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o6_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o5_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o6_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(8)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_8)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIV75T_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_a6_0_a5_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(6)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIFOB01_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o6_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_done_o)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(5)") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIFOB01_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o6_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o6_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o5_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o6_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o5_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSCL_2") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSCL)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_a6_0_a5_7)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSDA_2") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSDA)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_gen_data_fifo_valid_data_fifo_valid_1 "gnum_interface_block.cmp_l2p_dma_master.p_gen_data_fifo_valid.data_fifo_valid_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusy_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_busy.ibusy_2") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy_RNO)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o6_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(1)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_16)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_16)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o5_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o6_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o6_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(6)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_op_le_un8lto0 "gnum_interface_block.cmp_l2p_dma_master.op_le.un8lto0") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_RNO_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(10)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_7)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_op_le_un8lto1 "gnum_interface_block.cmp_l2p_dma_master.op_le.un8lto1") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_RNI0QAF_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(14)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_718_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_waitZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait") (joined - (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNO)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNIA1PP2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_719_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_al.ial_4") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_720_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1 "cmp_tdc.cmp_sdb_crossbar.rom.slave_clk.slave_o.ack_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) - (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_721_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_bus_wen_1 "cmp_carrier_onewire.U_Wrapped_1W.bus_wen_1") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_722_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_723_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_bus_wen_pwr_sel_1") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o6)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_724_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovdZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_ovd") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_10)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_10)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o5)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o5)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_2)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_24)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_14)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_725_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(0)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIJEGC1_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_726_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(1)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIKEGC1_1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(2)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o5)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_973_m2)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(3)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNID24H1_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(4)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_4)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o6)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE24H1_4)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(5)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o5)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIF24H1_5)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(6)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_24)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIG24H1_6)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(7)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_24)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a5)) + (portRef I2 (instanceRef m2_s_1_i_0_m2_cry_0_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un12_l2p_dma_current_state_i_0_a2_0_a4_0_a2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_oZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_cdr_o") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI7KB21_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(0)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI9OB21_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(1)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNII99T_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(2)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNIKH9T_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(3)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFGVP_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(4)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIHKVP_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(5)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_6)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJOVP_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(6)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILSVP_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(7)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIN00Q_14)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(8)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP40Q_15)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(9)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_10)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_9)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR80Q_16)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(10)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_10)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNITC0Q_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(11)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIM8VP_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(12)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_12)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIOCVP_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(13)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_13)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJKVP_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(14)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_14)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_14)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILOVP_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(15)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINSVP_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(0)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_16)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP00Q_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(1)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_17)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR40Q_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(2)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIT80Q_25)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(3)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_19)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIVC0Q_26)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(4)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_20)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI1H0Q_27)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(5)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_6)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_21)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII88E_28)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(6)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_6)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_22)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2)) + (portRef I0 (instanceRef m2_s_1_i_0_m2_s_24_RNO)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(7)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_23)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(8)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_24)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o6_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(9)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_10)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_25)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o6_2)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(10)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_10)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_26)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(11)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_27)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_3)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(12)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_28)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o6_5)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(13)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_29)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o5_6)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(14)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_14)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_30)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_cry_7_RNO)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(15)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o5_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_8)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_enaZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.irq_ena") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o6_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_9)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_stsZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o6_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_10)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o5)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o6)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNID24H1_3)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE24H1_4)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIG24H1_6)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIF24H1_5)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_11)) + )) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o5_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_12)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rstZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o5_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_13)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_datZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_14)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cycZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o5_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_15)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smpZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_16)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o5)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o5)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o6)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_988_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_991_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_986_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_987_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_980_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_981_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_985_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_989_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_984_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_979_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_990_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_983_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_992_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_993_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_994_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_982_m1)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o6_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_17)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(0)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_979_m1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_18)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(1)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_980_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_19)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(2)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_981_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_20)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(3)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_982_m1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_21)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(4)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_983_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_22)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(5)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_984_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_23)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(6)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_985_m1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_24)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(7)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_986_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o6_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_25)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(8)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_987_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_26)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(9)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_988_m1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o6_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_27)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(10)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_989_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o5_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_28)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(11)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_990_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_29)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(12)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_991_m1)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_30)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o6_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_30)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(13)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_992_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o6_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_31)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(14)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_993_m1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din_1_sqmuxa") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_2)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_4)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_9)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_10)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_11)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_12)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_13)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_14)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_15)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_16)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_17)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_18)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_19)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_20)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_21)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_22)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_23)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_24)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_25)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_26)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_27)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_28)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_29)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div(15)") (joined - (portRef Q (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_994_m1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_8 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(8)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_8)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxaZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_0_sqmuxa") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_9 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(9)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_9)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnte "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnte") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o6)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_3)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_4)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_5)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_6)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_10 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(10)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_10)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_10)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_dive "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.dive") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o5)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_11 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(11)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_11)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_11)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(0)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_12 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(12)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_12)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_12)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(1)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_13 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(13)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_13)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_13)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(2)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_14 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(14)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_14)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_14)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(3)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_15 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(15)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_15)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_15)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(4)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_16 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(16)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_16)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_16)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_4") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_17 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(17)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_17)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_17)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_11") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_18 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(18)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_18)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_18)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_18 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_18") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_19 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(19)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_19)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_25 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_25") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_20 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(20)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_20)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_32 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_32") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_21 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(21)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_21)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(0)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_22 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(22)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_22)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(1)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_23 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(23)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_23)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_2") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_24)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_24 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(24)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_24)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_7") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_18)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_25 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(25)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_25)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_25)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_14") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_10)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_26 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(26)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_26)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_26)) )) - (net (rename cmp_vic_U_Wrapped_VIC_irqs_i_regZ0Z_0 "cmp_vic.U_Wrapped_VIC.irqs_i_reg(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_0)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o5_3)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o5_4)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o6_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_27 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(27)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_27)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_27)) )) - (net (rename cmp_vic_U_Wrapped_VIC_irqs_i_regZ0Z_1 "cmp_vic.U_Wrapped_VIC.irqs_i_reg(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_1)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o5_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o6_4)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_28 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(28)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_28)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_28)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_enable "cmp_vic.U_Wrapped_VIC.vic_ctl_enable") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_enable_int)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o5)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o5_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o6_4)) - (portRef I3 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o5)) - (portRef I3 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o6)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1_sqmuxa_i_i_a2)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_29 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(29)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_29)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_29)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_pol "cmp_vic.U_Wrapped_VIC.vic_ctl_pol") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_pol_int)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_30 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(30)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_30)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_30)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_edge "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_edge") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_edge_int)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o6)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_0_2)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_31 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(31)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_31)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_31)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_0 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_0)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_3)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_0)) + (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_s_1)) + (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_cry_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_1 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_1)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_4)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIM2QB_1)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_2 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(2)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_2)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_5)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIN6QB_2)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_2)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_3 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(3)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_3)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_6)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIOAQB_3)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_3)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_4 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(4)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_7)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIPEQB_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_4)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_5 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(5)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_8)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIQIQB_5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_6 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(6)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_6)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_9)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIRMQB_6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_7 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(7)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_7)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_10)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNISQQB_7)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_7)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_8 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(8)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_8)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_11)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNITUQB_8)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_8)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_9 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(9)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_9)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_12)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIU2RB_9)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_9)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_10 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(10)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_10)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_13)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI0071_10)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_10)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_11 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(11)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_11)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_14)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI1071_11)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_11)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_12 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(12)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_12)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_15)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI2071_12)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_12)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_13 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(13)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_13)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_16)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI3071_13)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_13)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_14 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(14)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_14)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_17)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI4071_14)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_14)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ctl_emu_len_15 "cmp_vic.U_Wrapped_VIC.vic_ctl_emu_len(15)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_15)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o5_18)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43_RNO)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI5071_15)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_15)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ier_wr "cmp_vic.U_Wrapped_VIC.vic_ier_wr") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI6071_16)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_16)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_idr_wr "cmp_vic.U_Wrapped_VIC.vic_idr_wr") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI7071_17)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_17)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_imrZ0Z_0 "cmp_vic.U_Wrapped_VIC.vic_imr(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_0)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI8071_18)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_18)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_imrZ0Z_1 "cmp_vic.U_Wrapped_VIC.vic_imr(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_1)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o5)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI9071_19)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_19)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_0 "cmp_vic.U_Wrapped_VIC.vic_var(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI3471_20)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_20)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_1 "cmp_vic.U_Wrapped_VIC.vic_var(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_1)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI4471_21)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_21)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_2 "cmp_vic.U_Wrapped_VIC.vic_var(2)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_2)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_0_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI5471_22)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_22)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_3 "cmp_vic.U_Wrapped_VIC.vic_var(3)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_3)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI6471_23)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_23)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_4 "cmp_vic.U_Wrapped_VIC.vic_var(4)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI7471_24)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_24)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_5 "cmp_vic.U_Wrapped_VIC.vic_var(5)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_5)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI8471_25)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_25)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_6 "cmp_vic.U_Wrapped_VIC.vic_var(6)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_6)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_26)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI9471_26)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_26)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_7 "cmp_vic.U_Wrapped_VIC.vic_var(7)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_7)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_7)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIA471_27)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_27)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_8 "cmp_vic.U_Wrapped_VIC.vic_var(8)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_8)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIB471_28)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_28)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_9 "cmp_vic.U_Wrapped_VIC.vic_var(9)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_9)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_9)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_29)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_s_RNO_29)) + )) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnte "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnte") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_2)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_4)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_9)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_10)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_10 "cmp_vic.U_Wrapped_VIC.vic_var(10)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_10)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_10)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(0)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_7)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_11 "cmp_vic.U_Wrapped_VIC.vic_var(11)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_11)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_11)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(1)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_1)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_12 "cmp_vic.U_Wrapped_VIC.vic_var(12)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_12)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_12)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(4)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_o6_0_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_13 "cmp_vic.U_Wrapped_VIC.vic_var(13)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_13)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_13)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(6)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_14 "cmp_vic.U_Wrapped_VIC.vic_var(14)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_14)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_14)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(7)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_a6_0_a5_7)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_0)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_15 "cmp_vic.U_Wrapped_VIC.vic_var(15)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_15)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(3)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_4)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_16 "cmp_vic.U_Wrapped_VIC.vic_var(16)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_16)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_16)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_974 "gnum_interface_block.cmp_l2p_dma_master.N_974") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_17 "cmp_vic.U_Wrapped_VIC.vic_var(17)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_17)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_17)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_994 "gnum_interface_block.cmp_l2p_dma_master.N_994") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_10)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_18 "cmp_vic.U_Wrapped_VIC.vic_var(18)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_18)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o5_18)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1334 "gnum_interface_block.cmp_l2p_dma_master.N_1334") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_27)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_19 "cmp_vic.U_Wrapped_VIC.vic_var(19)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_19)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19)) + (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_toggleZ0 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_toggle") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_toggle)) + (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_0)) + (portRef I1 (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_toggle_e)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_20 "cmp_vic.U_Wrapped_VIC.vic_var(20)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_20)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20)) + (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_syncZ0Z_0 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_sync(0)") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_0)) + (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_21 "cmp_vic.U_Wrapped_VIC.vic_var(21)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_21)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21)) + (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_syncZ0Z_1 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_sync(1)") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_1)) + (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_2)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_22 "cmp_vic.U_Wrapped_VIC.vic_var(22)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_22)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22)) + (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_syncZ0Z_2 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_sync(2)") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_2)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_23 "cmp_vic.U_Wrapped_VIC.vic_var(23)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_23)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23)) + (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_toggleZ0 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_toggle") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_toggle)) + (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_0)) + (portRef I1 (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_toggle_e)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_24 "cmp_vic.U_Wrapped_VIC.vic_var(24)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_24)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24)) + (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_syncZ0Z_0 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_sync(0)") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_0)) + (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_25 "cmp_vic.U_Wrapped_VIC.vic_var(25)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_25)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25)) + (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_syncZ0Z_1 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_sync(1)") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_1)) + (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_2)) + (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_26 "cmp_vic.U_Wrapped_VIC.vic_var(26)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_26)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26)) + (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_syncZ0Z_2 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_sync(2)") (joined + (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_2)) + (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_27 "cmp_vic.U_Wrapped_VIC.vic_var(27)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_27)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_0)) + (portRef din_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_28 "cmp_vic.U_Wrapped_VIC.vic_var(28)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_28)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_1 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_1)) + (portRef din_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_29 "cmp_vic.U_Wrapped_VIC.vic_var(29)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_29)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o5_29)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_2 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_2)) + (portRef din_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_30 "cmp_vic.U_Wrapped_VIC.vic_var(30)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_30)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_3 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_3)) + (portRef din_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_varZ0Z_31 "cmp_vic.U_Wrapped_VIC.vic_var(31)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_31)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_4 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_4)) + (portRef din_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_swir_wr "cmp_vic.U_Wrapped_VIC.vic_swir_wr") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o)) - (portRef I0 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o6)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_5 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_5)) + (portRef din_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_eoir_wr "cmp_vic.U_Wrapped_VIC.vic_eoir_wr") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o5)) - (portRef I2 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o5)) - (portRef I2 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o6)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_6 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_6)) + (portRef din_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_0 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(0)") (joined - (portRef DOB_0 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_7 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_7)) + (portRef din_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_1 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(1)") (joined - (portRef DOB_1 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_8 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_8)) + (portRef din_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_2 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(2)") (joined - (portRef DOB_2 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_9 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_9)) + (portRef din_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_3 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(3)") (joined - (portRef DOB_3 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_10 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_10)) + (portRef din_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_4 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(4)") (joined - (portRef DOB_4 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_11 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_11)) + (portRef din_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_5 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(5)") (joined - (portRef DOB_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_12 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_12)) + (portRef din_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_6 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(6)") (joined - (portRef DOB_6 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_13 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_13)) + (portRef din_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_7 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(7)") (joined - (portRef DOB_7 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_14 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_14)) + (portRef din_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_8 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(8)") (joined - (portRef DOB_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_15 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_15)) + (portRef din_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_9 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(9)") (joined - (portRef DOB_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_16 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_16)) + (portRef din_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_10 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(10)") (joined - (portRef DOB_10 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_17 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_17)) + (portRef din_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_11 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(11)") (joined - (portRef DOB_11 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_18 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_18)) + (portRef din_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_12 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(12)") (joined - (portRef DOB_12 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_19 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_19)) + (portRef din_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_13 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(13)") (joined - (portRef DOB_13 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_20 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_20)) + (portRef din_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_14 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(14)") (joined - (portRef DOB_14 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_21 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_21)) + (portRef din_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_15 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(15)") (joined - (portRef DOB_15 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_22 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_22)) + (portRef din_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_16 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(16)") (joined - (portRef DOB_16 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_23 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_23)) + (portRef din_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_17 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(17)") (joined - (portRef DOB_17 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_24 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_24)) + (portRef din_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_18 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(18)") (joined - (portRef DOB_18 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_25 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_25)) + (portRef din_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_19 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(19)") (joined - (portRef DOB_19 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_26 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_26)) + (portRef din_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_20 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(20)") (joined - (portRef DOB_20 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_27 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_27)) + (portRef din_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_21 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(21)") (joined - (portRef DOB_21 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_28 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_28)) + (portRef din_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_22 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(22)") (joined - (portRef DOB_22 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_29 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_29)) + (portRef din_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_23 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(23)") (joined - (portRef DOB_23 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_30 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_30)) + (portRef din_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_24 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(24)") (joined - (portRef DOB_24 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_31 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_31)) + (portRef din_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_25 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(25)") (joined - (portRef DOB_25 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_32 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(32)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_32)) + (portRef din_32 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_26 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(26)") (joined - (portRef DOB_26 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_33 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(33)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_33)) + (portRef din_33 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_27 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(27)") (joined - (portRef DOB_27 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_34 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(34)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_34)) + (portRef din_34 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_28 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(28)") (joined - (portRef DOB_28 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_35 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(35)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_35)) + (portRef din_35 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_29 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(29)") (joined - (portRef DOB_29 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_36 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(36)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_36)) + (portRef din_36 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_30 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(30)") (joined - (portRef DOB_30 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_37 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(37)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_37)) + (portRef din_37 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_towb_31 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_towb(31)") (joined - (portRef DOB_31 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_38 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(38)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_38)) + (portRef din_38 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_wr "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_wr") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) - (portRef WEB_3 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef WEB_2 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef WEB_1 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef WEB_0 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_39 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(39)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_39)) + (portRef din_39 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_0 "cmp_vic.U_Wrapped_VIC.state(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o6)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_40 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(40)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_40)) + (portRef din_40 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_current_irq_0 "cmp_vic.U_Wrapped_VIC.current_irq(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_current_irq_0_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o5_3)) - (portRef ADDRA_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_41 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(41)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_41)) + (portRef din_41 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_current_irq_4 "cmp_vic.U_Wrapped_VIC.current_irq(4)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_current_irqcst_4)) - (portRef ADDRA_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_42 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(42)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_42)) + (portRef din_42 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_2 "cmp_vic.U_Wrapped_VIC.state(2)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o5)) - (portRef I1 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o5)) - (portRef I1 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o6)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1_sqmuxa_i_i_a2)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_43 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(43)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_43)) + (portRef din_43 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_0 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(0)") (joined - (portRef DOA_0 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_44 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(44)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_44)) + (portRef din_44 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_1 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(1)") (joined - (portRef DOA_1 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_45 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(45)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_45)) + (portRef din_45 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_2 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(2)") (joined - (portRef DOA_2 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_2)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_46 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(46)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_46)) + (portRef din_46 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_3 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(3)") (joined - (portRef DOA_3 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_47 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(47)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_47)) + (portRef din_47 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_4 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(4)") (joined - (portRef DOA_4 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_48 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(48)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_48)) + (portRef din_48 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_5 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(5)") (joined - (portRef DOA_5 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_49 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(49)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_49)) + (portRef din_49 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_6 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(6)") (joined - (portRef DOA_6 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_50 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(50)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_50)) + (portRef din_50 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_7 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(7)") (joined - (portRef DOA_7 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_7)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_51 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(51)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_51)) + (portRef din_51 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_8 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(8)") (joined - (portRef DOA_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_8)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_52 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(52)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_52)) + (portRef din_52 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_9 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(9)") (joined - (portRef DOA_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_53 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(53)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_53)) + (portRef din_53 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_10 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(10)") (joined - (portRef DOA_10 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_54 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(54)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_54)) + (portRef din_54 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_11 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(11)") (joined - (portRef DOA_11 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_11)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_55 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(55)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_55)) + (portRef din_55 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_12 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(12)") (joined - (portRef DOA_12 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_56 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(56)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_56)) + (portRef din_56 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_13 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(13)") (joined - (portRef DOA_13 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_13)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_57 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(57)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_57)) + (portRef din_57 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_14 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(14)") (joined - (portRef DOA_14 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_58 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(58)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_58)) + (portRef din_58 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_15 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(15)") (joined - (portRef DOA_15 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_15)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_59 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(59)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_59)) + (portRef din_59 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_16 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(16)") (joined - (portRef DOA_16 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_16)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_60 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(60)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_60)) + (portRef din_60 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_17 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(17)") (joined - (portRef DOA_17 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_17)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_61 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(61)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_61)) + (portRef din_61 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_18 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(18)") (joined - (portRef DOA_18 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_62 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(62)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_62)) + (portRef din_62 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_19 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(19)") (joined - (portRef DOA_19 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_19)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_63 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(63)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_63)) + (portRef din_63 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_20 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(20)") (joined - (portRef DOA_20 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wrZ0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_wr") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wr)) + (portRef wr_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_21 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(21)") (joined - (portRef DOA_21 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_full "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_full") (joined + (portRef prog_full (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef I (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIO5O2)) + (portRef I1 (instanceRef gnum_interface_block_p2l_rdy_o)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_22 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(22)") (joined - (portRef DOA_22 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_22)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(0)") (joined + (portRef dout_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_0)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_23 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(23)") (joined - (portRef DOA_23 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_23)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_1 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(1)") (joined + (portRef dout_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_24 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(24)") (joined - (portRef DOA_24 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_24)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_2 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(2)") (joined + (portRef dout_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_2)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_25 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(25)") (joined - (portRef DOA_25 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_3 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(3)") (joined + (portRef dout_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_3)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_26 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(26)") (joined - (portRef DOA_26 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_26)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_4 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(4)") (joined + (portRef dout_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_4)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_27 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(27)") (joined - (portRef DOA_27 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_27)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_5 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(5)") (joined + (portRef dout_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_28 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(28)") (joined - (portRef DOA_28 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_6 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(6)") (joined + (portRef dout_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_29 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(29)") (joined - (portRef DOA_29 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_29)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_7 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(7)") (joined + (portRef dout_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_7)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_30 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(30)") (joined - (portRef DOA_30 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_30)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_8 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(8)") (joined + (portRef dout_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_8)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_ivt_ram_data_int_31 "cmp_vic.U_Wrapped_VIC.vic_ivt_ram_data_int(31)") (joined - (portRef DOA_31 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_31)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_9 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(9)") (joined + (portRef dout_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_9)) )) - (net (rename cmp_vic_U_Wrapped_VIC_swi_maskZ0Z_0 "cmp_vic.U_Wrapped_VIC.swi_mask(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_10 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(10)") (joined + (portRef dout_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_10)) )) - (net (rename cmp_vic_U_Wrapped_VIC_swi_maskZ0Z_1 "cmp_vic.U_Wrapped_VIC.swi_mask(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_1)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_11 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(11)") (joined + (portRef dout_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_11)) )) - (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_3 "cmp_vic.U_Wrapped_VIC.state(3)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_3)) - (portRef I0 (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_20)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_18)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_14)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_12)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_10)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_9)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_6)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_3)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_12 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(12)") (joined + (portRef dout_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_12)) )) - (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_4 "cmp_vic.U_Wrapped_VIC.state(4)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o5_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o6_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_13 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(13)") (joined + (portRef dout_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_13)) )) - (net (rename cmp_vic_U_Wrapped_VIC_p_register_irq_lines_irqs_i_reg_2_0 "cmp_vic.U_Wrapped_VIC.p_register_irq_lines.irqs_i_reg_2(0)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_14 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(14)") (joined + (portRef dout_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_14)) )) - (net (rename cmp_vic_U_Wrapped_VIC_p_register_irq_lines_irqs_i_reg_2_1 "cmp_vic.U_Wrapped_VIC.p_register_irq_lines.irqs_i_reg_2(1)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_RNO_1)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_irqs_i_reg_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_15 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(15)") (joined + (portRef dout_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_15)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o5)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_16 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(16)") (joined + (portRef dout_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_16)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_0 "cmp_vic.U_Wrapped_VIC.timeout_count(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIGV89_0)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_17 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(17)") (joined + (portRef dout_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_17)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_1 "cmp_vic.U_Wrapped_VIC.timeout_count(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIH399_1)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_18 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(18)") (joined + (portRef dout_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_18)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_2 "cmp_vic.U_Wrapped_VIC.timeout_count(2)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_2)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNII799_2)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_19 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(19)") (joined + (portRef dout_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_19)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_3 "cmp_vic.U_Wrapped_VIC.timeout_count(3)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_3)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIJB99_3)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_20 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(20)") (joined + (portRef dout_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_20)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_4 "cmp_vic.U_Wrapped_VIC.timeout_count(4)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIKF99_4)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_21 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(21)") (joined + (portRef dout_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_21)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_5 "cmp_vic.U_Wrapped_VIC.timeout_count(5)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNILJ99_5)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_22 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(22)") (joined + (portRef dout_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_22)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_6 "cmp_vic.U_Wrapped_VIC.timeout_count(6)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_6)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIMN99_6)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_23 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(23)") (joined + (portRef dout_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_23)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_7 "cmp_vic.U_Wrapped_VIC.timeout_count(7)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_7)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNINR99_7)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_24 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(24)") (joined + (portRef dout_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_24)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_8 "cmp_vic.U_Wrapped_VIC.timeout_count(8)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_8)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIOV99_8)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_25 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(25)") (joined + (portRef dout_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_25)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_9 "cmp_vic.U_Wrapped_VIC.timeout_count(9)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_9)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIP3A9_9)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_26 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(26)") (joined + (portRef dout_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_26)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_10 "cmp_vic.U_Wrapped_VIC.timeout_count(10)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_10)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNINIIA_10)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_27 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(27)") (joined + (portRef dout_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_27)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_11 "cmp_vic.U_Wrapped_VIC.timeout_count(11)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_11)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIOIIA_11)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_28 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(28)") (joined + (portRef dout_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_28)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_12 "cmp_vic.U_Wrapped_VIC.timeout_count(12)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_12)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIPIIA_12)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_29 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(29)") (joined + (portRef dout_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_29)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_13 "cmp_vic.U_Wrapped_VIC.timeout_count(13)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_13)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIQIIA_13)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_30 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(30)") (joined + (portRef dout_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_30)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_14 "cmp_vic.U_Wrapped_VIC.timeout_count(14)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_14)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_RNIRIIA_14)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_31 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(31)") (joined + (portRef dout_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_31)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_countZ0Z_15 "cmp_vic.U_Wrapped_VIC.timeout_count(15)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_15)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43_RNO)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_s_RNO_15)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(0)") (joined + (portRef dout_32 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_0)) )) - (net (rename cmp_vic_U_Wrapped_VIC_swi_mask_6_0 "cmp_vic.U_Wrapped_VIC.swi_mask_6(0)") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o5)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_1 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(1)") (joined + (portRef dout_33 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_1)) )) - (net (rename cmp_vic_U_Wrapped_VIC_swi_mask_6_1 "cmp_vic.U_Wrapped_VIC.swi_mask_6(1)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_2 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(2)") (joined + (portRef dout_34 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_2)) )) - (net (rename cmp_vic_U_Wrapped_VIC_stateZ0Z_1 "cmp_vic.U_Wrapped_VIC.state(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_state_1)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o6_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_3 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(3)") (joined + (portRef dout_35 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_3)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progressZ0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o5)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o6)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNO)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_4 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(4)") (joined + (portRef dout_36 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_4)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_0 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(0)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_5 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(5)") (joined + (portRef dout_37 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_1 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(1)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_6 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(6)") (joined + (portRef dout_38 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_2 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(2)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_7 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(7)") (joined + (portRef dout_39 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_7)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_3 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(3)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_8 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(8)") (joined + (portRef dout_40 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_8)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_4 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(4)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_9 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(9)") (joined + (portRef dout_41 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_9)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(5)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_10 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(10)") (joined + (portRef dout_42 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_10)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(6)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_11 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(11)") (joined + (portRef dout_43 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_11)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_7 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(7)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_7)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_12 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(12)") (joined + (portRef dout_44 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_12)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_8 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(8)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_8)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_13 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(13)") (joined + (portRef dout_45 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_13)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_9 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(9)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_14 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(14)") (joined + (portRef dout_46 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_14)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_10 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(10)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_10)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_15 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(15)") (joined + (portRef dout_47 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_15)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_11 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(11)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_16 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(16)") (joined + (portRef dout_48 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_16)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_12 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(12)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_12)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_rw "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_rw") (joined + (portRef dout_63 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_we_t)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_13 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(13)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_13)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_rdZ0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_rd") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_rd)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o5)) + (portRef rd_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_14 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(14)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_14)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_empty "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_empty") (joined + (portRef empty (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_15 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(15)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) + (portRef din_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_16 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(16)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_1 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(1)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) + (portRef din_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_17 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(17)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_2 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) + (portRef din_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_18 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(18)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_3 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(3)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) + (portRef din_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(19)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_19)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_4 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(4)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) + (portRef din_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(20)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_5 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(5)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) + (portRef din_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_21 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(21)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_21)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_6 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) + (portRef din_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(22)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_22)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_7 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(7)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) + (portRef din_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(23)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_23)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_8 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(8)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) + (portRef din_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_24 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(24)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_9 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(9)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) + (portRef din_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_25 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(25)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_25)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_10 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(10)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) + (portRef din_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_26 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(26)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_11 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(11)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) + (portRef din_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(27)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_27)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_12 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(12)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) + (portRef din_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_28 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(28)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_28)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_13 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(13)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) + (portRef din_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_29 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(29)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_14 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(14)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) + (portRef din_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_30 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(30)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_30)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_15 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(15)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) + (portRef din_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_regZ0Z_31 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg(31)") (joined - (portRef Q (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_31)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_16 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(16)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) + (portRef din_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_0_sqmuxa "cmp_vic.U_Wrapped_VIC.U_wb_controller.ack_in_progress_0_sqmuxa") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o6)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_17 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(17)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) + (portRef din_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_edge_int_0_sqmuxa "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_ctl_emu_edge_int_0_sqmuxa") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI6FBO2_5)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_0)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_1)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_2)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_3)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_4)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_5)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_6)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_7)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_8)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_9)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_10)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_11)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_12)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_13)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_14)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_15)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_pol_int)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_enable_int)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_edge_int)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_18 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(18)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) + (portRef din_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(19)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_19)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_19 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(19)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) + (portRef din_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(20)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_20)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_20 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(20)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) + (portRef din_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_21 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(21)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_21)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_21 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(21)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) + (portRef din_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(22)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_22)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_22 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(22)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) + (portRef din_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(23)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_23)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_23 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(23)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) + (portRef din_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_24 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(24)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_24)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_24 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(24)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) + (portRef din_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_25 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(25)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_25)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_25 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(25)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) + (portRef din_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_26 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(26)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_26)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_26 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(26)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) + (portRef din_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(27)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_27)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_27 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(27)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) + (portRef din_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_28 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(28)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_28)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_28 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(28)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + (portRef din_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_29 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(29)") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o5_29)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_29)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_29 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(29)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) + (portRef din_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_30 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(30)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_30)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_30 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(30)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) + (portRef din_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_31 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(31)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_31)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_31 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(31)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) + (portRef din_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_2 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(2)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_0_2)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_2)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wrZ0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_wr") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr)) + (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) + (portRef wr_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_3 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(3)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_3)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_3)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(0)") (joined + (portRef dout_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_4 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(4)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_4)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_4)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_1 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(1)") (joined + (portRef dout_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_5 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(5)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_5)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_5)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_2 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(2)") (joined + (portRef dout_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_6 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(6)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_6)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_6)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_3 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(3)") (joined + (portRef dout_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_7 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(7)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_7)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_7)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_4 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(4)") (joined + (portRef dout_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_8 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(8)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_8)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_8)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_5 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(5)") (joined + (portRef dout_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_9 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(9)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_9)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_9)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_6 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(6)") (joined + (portRef dout_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_10 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(10)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_10)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_10)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_7 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(7)") (joined + (portRef dout_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_11 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(11)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_11)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_11)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_8 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(8)") (joined + (portRef dout_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_12 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(12)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_12)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_12)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_9 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(9)") (joined + (portRef dout_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_13 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(13)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_13)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_13)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_10 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(10)") (joined + (portRef dout_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o5_0)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_14 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(14)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_14)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_14)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_11 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(11)") (joined + (portRef dout_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_11)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_15 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(15)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_15)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_15)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_12 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(12)") (joined + (portRef dout_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_16 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(16)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_16)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_16)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_13 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(13)") (joined + (portRef dout_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_26)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56Z0Z_17 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(17)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_17)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_17)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_14 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(14)") (joined + (portRef dout_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_18 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(18)") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o5_18)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_18)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_15 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(15)") (joined + (portRef dout_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_15)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_0 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(0)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_16 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(16)") (joined + (portRef dout_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_1 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(1)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_17 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(17)") (joined + (portRef dout_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o6)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_2 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(2)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_18 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(18)") (joined + (portRef dout_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_3 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(3)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_19 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(19)") (joined + (portRef dout_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_data_tmp_4 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_data_tmp(4)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_20 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(20)") (joined + (portRef dout_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_N_4 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_N_4") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_42)) - (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_21 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(21)") (joined + (portRef dout_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_N_11 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_N_11") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_34)) - (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_22 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(22)") (joined + (portRef dout_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_N_18 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_N_18") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_26)) - (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_23 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(23)") (joined + (portRef dout_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_N_25 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_N_25") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_18)) - (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_24 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(24)") (joined + (portRef dout_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_11)) )) - (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_N_32 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_N_32") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_10)) - (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_25 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(25)") (joined + (portRef dout_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_dma_eic_un2_wb_cyc_i_0 "cmp_dma_eic.un2_wb_cyc_i(0)") (joined - (portRef O (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef D (instanceRef cmp_dma_eic_ack_in_progress)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_26 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(26)") (joined + (portRef dout_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_26)) )) - (net (rename cmp_dma_eic_eic_imr_int_0 "cmp_dma_eic.eic_imr_int(0)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_dma_eic_rddata_reg_96_0)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_27 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(27)") (joined + (portRef dout_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_dma_eic_eic_imr_int_1 "cmp_dma_eic.eic_imr_int(1)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_dma_eic_rddata_reg_96_1)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_28 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(28)") (joined + (portRef dout_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_dma_eic_eic_ier_write_intZ0 "cmp_dma_eic.eic_ier_write_int") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_ier_write_int)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0)) - (portRef I0 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_29 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(29)") (joined + (portRef dout_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_dma_eic_eic_idr_write_intZ0 "cmp_dma_eic.eic_idr_write_int") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_idr_write_int)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0)) - (portRef I0 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_30 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(30)") (joined + (portRef dout_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o5)) )) - (net (rename cmp_dma_eic_eic_isr_status_int_0 "cmp_dma_eic.eic_isr_status_int(0)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_0)) - (portRef I0 (instanceRef cmp_dma_eic_rddata_reg_96_0)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_wb_irq_o_RNO)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_31 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(31)") (joined + (portRef dout_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o5)) )) - (net (rename cmp_dma_eic_eic_isr_status_int_1 "cmp_dma_eic.eic_isr_status_int(1)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_1)) - (portRef I0 (instanceRef cmp_dma_eic_rddata_reg_96_1)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_wb_irq_o_RNO)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rdZ0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_rd") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) + (portRef rd_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename cmp_dma_eic_eic_isr_write_intZ0 "cmp_dma_eic.eic_isr_write_int") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_isr_write_int)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) - (portRef I2 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) - (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) - (portRef I2 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_empty "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_empty") (joined + (portRef empty (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) )) - (net (rename cmp_dma_eic_ack_in_progressZ0 "cmp_dma_eic.ack_in_progress") (joined - (portRef Q (instanceRef cmp_dma_eic_ack_in_progress)) - (portRef I0 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) - (portRef I1 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) - (portRef I1 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) + (net (rename gnum_interface_block_cmp_wbmaster32_p2l_cidZ0Z_0 "gnum_interface_block.cmp_wbmaster32.p2l_cid(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o5_0)) )) - (net (rename cmp_dma_eic_ack_in_progress_0_sqmuxa "cmp_dma_eic.ack_in_progress_0_sqmuxa") (joined - (portRef O (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I5 (instanceRef cmp_dma_eic_rddata_reg_96_0)) - (portRef I5 (instanceRef cmp_dma_eic_rddata_reg_96_1)) - (portRef D (instanceRef cmp_dma_eic_ack_sreg_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_p2l_cidZ0Z_1 "gnum_interface_block.cmp_wbmaster32.p2l_cid(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_11)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2(0)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_0)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un1_pd_wbm_target_mwr_i "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un1_pd_wbm_target_mwr_i") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_63)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2(1)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_1)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un3_pd_wbm_target_mrd_i") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o6)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_32)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_33)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_34)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_35)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_36)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_37)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_38)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_39)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_40)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_41)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_42)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_43)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_44)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_45)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_46)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_47)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_48)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_49)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_50)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_51)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_52)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_53)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_54)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_55)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_56)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_57)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_58)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_59)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_60)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_61)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_62)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_63)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wr)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d1Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d1(0)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_0)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_0_sqmuxa "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_0_sqmuxa") (joined + (portRef O5 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_1)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_4)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_5)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_6)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_7)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_1)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_4)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_5)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_6)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_7)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) + (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_270)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_269)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_268)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_267)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_266)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_265)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_264)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_263)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_262)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_261)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_259)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_258)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_257)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_256)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_255)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_254)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_253)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_252)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_251)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_250)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_248)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_247)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_246)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_245)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_244)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_243)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_242)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_241)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_240)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_235)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_234)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_229)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_225)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_219)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_210)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_202)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_198)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_197)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_196)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_195)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_193)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_192)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_191)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_190)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_189)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_188)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_187)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_185)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_184)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_183)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_182)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_181)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_180)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_179)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_177)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_176)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_175)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_174)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_173)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_172)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_171)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_169)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_168)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_167)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_163)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_156)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_149)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_142)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_135)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_128)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_121)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_117)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_116)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_115)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_113)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_112)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_111)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_107)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_100)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_93)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_86)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_79)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_72)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_65)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_63)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_61)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_60)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_59)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_57)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_56)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_55)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_51)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_44)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_37)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_30)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_23)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_16)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_14)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_9)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_4)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_2)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_1)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d1Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d1(1)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_1)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_11 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(11)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_11)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_11)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0(0)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_15 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(15)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_15)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_15)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0(1)") (joined - (portRef Q (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o5_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_24 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(24)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_11)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_24)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d0_5(0)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_26 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(26)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_26)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_26)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d0_9(1)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_stateZ0Z_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o6_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_valid_o)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_dframe_o)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_15)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d1_5(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_stateZ0Z_1 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_11)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o6_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_15)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d1_9(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o5_1)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_stateZ0Z_0 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_pending_10(0)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_stateZ0Z_1 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_pending_19Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_pending_19(1)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_144_d "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_144_d") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNICPH41_o6)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_1)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_2)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_4)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_9)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_10)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_11)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_12)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_13)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_14)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_15)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_16)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_17)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_18)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_19)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_20)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_21)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_22)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_23)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_24)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_25)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_26)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_27)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_28)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_29)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_30)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_31)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_1)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_2)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_4)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_9)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_10)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_11)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_12)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_13)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_14)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_15)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_16)) + (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_we_t)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_mask_15Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.irq_mask_15(0)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_0 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_ns(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_0)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_mask_15Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_mask_15(1)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_1)) + (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_ns(1)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_0)) - (portRef din_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state_ns(0)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1)) - (portRef din_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_0 "gnum_interface_block.cmp_p2l_des.p2l_data_t(0)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_2)) - (portRef din_2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_1 "gnum_interface_block.cmp_p2l_des.p2l_data_t(1)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_3)) - (portRef din_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_2 "gnum_interface_block.cmp_p2l_des.p2l_data_t(2)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_4)) - (portRef din_4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_3 "gnum_interface_block.cmp_p2l_des.p2l_data_t(3)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_5)) - (portRef din_5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_4 "gnum_interface_block.cmp_p2l_des.p2l_data_t(4)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_6)) - (portRef din_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_5 "gnum_interface_block.cmp_p2l_des.p2l_data_t(5)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_7)) - (portRef din_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_6 "gnum_interface_block.cmp_p2l_des.p2l_data_t(6)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_8)) - (portRef din_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_7 "gnum_interface_block.cmp_p2l_des.p2l_data_t(7)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_9)) - (portRef din_9 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_8 "gnum_interface_block.cmp_p2l_des.p2l_data_t(8)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_10)) - (portRef din_10 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_9 "gnum_interface_block.cmp_p2l_des.p2l_data_t(9)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_11)) - (portRef din_11 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_10 "gnum_interface_block.cmp_p2l_des.p2l_data_t(10)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_10)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_12)) - (portRef din_12 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_11 "gnum_interface_block.cmp_p2l_des.p2l_data_t(11)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_13)) - (portRef din_13 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_12 "gnum_interface_block.cmp_p2l_des.p2l_data_t(12)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_12)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_14)) - (portRef din_14 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_13 "gnum_interface_block.cmp_p2l_des.p2l_data_t(13)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_13)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_15)) - (portRef din_15 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_14 "gnum_interface_block.cmp_p2l_des.p2l_data_t(14)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_14)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_16)) - (portRef din_16 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_15 "gnum_interface_block.cmp_p2l_des.p2l_data_t(15)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_15)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_17)) - (portRef din_17 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_16 "gnum_interface_block.cmp_p2l_des.p2l_data_t(16)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_18)) - (portRef din_18 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_17 "gnum_interface_block.cmp_p2l_des.p2l_data_t(17)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_19)) - (portRef din_19 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_18 "gnum_interface_block.cmp_p2l_des.p2l_data_t(18)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_20)) - (portRef din_20 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_19 "gnum_interface_block.cmp_p2l_des.p2l_data_t(19)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_21)) - (portRef din_21 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_20 "gnum_interface_block.cmp_p2l_des.p2l_data_t(20)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_20)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_22)) - (portRef din_22 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_21 "gnum_interface_block.cmp_p2l_des.p2l_data_t(21)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_21)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_23)) - (portRef din_23 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_22 "gnum_interface_block.cmp_p2l_des.p2l_data_t(22)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_22)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_24)) - (portRef din_24 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_23 "gnum_interface_block.cmp_p2l_des.p2l_data_t(23)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_23)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_25)) - (portRef din_25 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_24 "gnum_interface_block.cmp_p2l_des.p2l_data_t(24)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_24)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_26)) - (portRef din_26 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_25 "gnum_interface_block.cmp_p2l_des.p2l_data_t(25)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_25)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_27)) - (portRef din_27 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_26 "gnum_interface_block.cmp_p2l_des.p2l_data_t(26)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_26)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_28)) - (portRef din_28 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_27 "gnum_interface_block.cmp_p2l_des.p2l_data_t(27)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_27)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dinZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_29)) - (portRef din_29 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_28 "gnum_interface_block.cmp_p2l_des.p2l_data_t(28)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_28)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wrZ0 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_wr") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) - (portRef wr_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_29 "gnum_interface_block.cmp_p2l_des.p2l_data_t(29)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_29)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_full "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_full") (joined - (portRef prog_full (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I1 (instanceRef gnum_interface_block_rst_reg_RNI133T1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4_RNIE7VI1)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_30 "gnum_interface_block.cmp_p2l_des.p2l_data_t(30)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_30)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_0 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(0)") (joined - (portRef dout_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_0)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_31 "gnum_interface_block.cmp_p2l_des.p2l_data_t(31)") (joined + (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_31)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_1 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(1)") (joined - (portRef dout_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_1)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_dframe_t_0 "gnum_interface_block.cmp_p2l_des.p2l_dframe_t(0)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_dframe_t2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_2 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(2)") (joined - (portRef dout_2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_2)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_valid_t_0 "gnum_interface_block.cmp_p2l_des.p2l_valid_t(0)") (joined + (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_valid_t2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_3 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(3)") (joined - (portRef dout_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_3)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_dframe_tZ0Z2 "gnum_interface_block.cmp_p2l_des.p2l_dframe_t2") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_dframe_t2)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_dframe_o)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_4 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(4)") (joined - (portRef dout_4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_4)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_valid_tZ0Z2 "gnum_interface_block.cmp_p2l_des.p2l_valid_t2") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_valid_t2)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_valid_o)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_5 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(5)") (joined - (portRef dout_5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_5)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_0 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_0)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_6 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(6)") (joined - (portRef dout_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_6)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_1 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_1)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_7 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(7)") (joined - (portRef dout_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_7)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_2 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_2)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_8 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(8)") (joined - (portRef dout_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_8)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_3 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_3)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_dout_9 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_dout(9)") (joined - (portRef dout_9 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_9)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_4 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_4)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_rd_1") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_valid)) - (portRef rd_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_5 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_5)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_empty "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_empty") (joined - (portRef empty (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_6 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_6)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_wr "gnum_interface_block.cmp_l2p_dma_master.data_fifo_wr") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_30)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_31)) - (portRef wr_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_7 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_7)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_full "gnum_interface_block.cmp_l2p_dma_master.data_fifo_full") (joined - (portRef prog_full (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_8 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_8)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_0 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(0)") (joined - (portRef dout_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_9 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_9)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_1 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(1)") (joined - (portRef dout_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_10 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_10)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_10)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_2 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(2)") (joined - (portRef dout_2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_11 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_11)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_3 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(3)") (joined - (portRef dout_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_12 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(12)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_12)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_12)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_4 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(4)") (joined - (portRef dout_4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_13 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(13)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_13)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_13)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_5 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(5)") (joined - (portRef dout_5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_14 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(14)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_14)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_14)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_6 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(6)") (joined - (portRef dout_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_15 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(15)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_15)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_15)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_7 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(7)") (joined - (portRef dout_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_16 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(16)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_16)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_8 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(8)") (joined - (portRef dout_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_17 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(17)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_17)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_9 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(9)") (joined - (portRef dout_9 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_18 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(18)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_18)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_10 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(10)") (joined - (portRef dout_10 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_19 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(19)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_19)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_11 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(11)") (joined - (portRef dout_11 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_20 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(20)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_20)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_20)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_12 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(12)") (joined - (portRef dout_12 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_21 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(21)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_21)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_21)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_13 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(13)") (joined - (portRef dout_13 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_22 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(22)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_22)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_22)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_14 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(14)") (joined - (portRef dout_14 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_23 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(23)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_23)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_23)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_15 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(15)") (joined - (portRef dout_15 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_24 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(24)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_24)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_24)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_16 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(16)") (joined - (portRef dout_16 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_25 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(25)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_25)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_25)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_17 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(17)") (joined - (portRef dout_17 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_26 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(26)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_26)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_26)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_18 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(18)") (joined - (portRef dout_18 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_27 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(27)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_27)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_27)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_19 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(19)") (joined - (portRef dout_19 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_28 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(28)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_28)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_28)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_20 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(20)") (joined - (portRef dout_20 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_29 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(29)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_29)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_29)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_21 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(21)") (joined - (portRef dout_21 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_30 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(30)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_30)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_30)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_22 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(22)") (joined - (portRef dout_22 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) + (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_31 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(31)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_31)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_31)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_23 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(23)") (joined - (portRef dout_23 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_valid_in_rx_data_in_0 "gnum_interface_block.cmp_p2l_des.cmp_valid_in.rx_data_in(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_24 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(24)") (joined - (portRef dout_24 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_dframe_in_rx_data_in_0 "gnum_interface_block.cmp_p2l_des.cmp_dframe_in.rx_data_in(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_25 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(25)") (joined - (portRef dout_25 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_9 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(9)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_26 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(26)") (joined - (portRef dout_26 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_11 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(11)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_27 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(27)") (joined - (portRef dout_27 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_7 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(7)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_28 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(28)") (joined - (portRef dout_28 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_4 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(4)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_29 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(29)") (joined - (portRef dout_29 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_2 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(2)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_30 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(30)") (joined - (portRef dout_30 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_0 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_dout_31 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_dout(31)") (joined - (portRef dout_31 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_6 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(6)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_rdZ0 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_rd") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o5_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) - (portRef rd_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_14 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(14)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_empty "gnum_interface_block.cmp_l2p_dma_master.data_fifo_empty") (joined - (portRef empty (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o5_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o6_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_1)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_15 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(15)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_cry_RNO_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_13 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(13)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIC43B_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_8 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(8)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNID43B_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_10 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(10)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIE43B_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_12 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(12)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIF43B_4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_3)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_1 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(1)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIG43B_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_3 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(3)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIH43B_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) + (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_5 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(5)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_iob_clk_in)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNII43B_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) + (net (rename gnum_interface_block_cmp_clk_in_rx_clk_in "gnum_interface_block.cmp_clk_in.rx_clk_in") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_iob_clk_in)) + (portRef IDATAIN (instanceRef gnum_interface_block_cmp_clk_in_iodelay_s)) + (portRef IDATAIN (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIJ43B_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) + (net (rename gnum_interface_block_cmp_clk_in_busym "gnum_interface_block.cmp_clk_in.busym") (joined + (portRef BUSY (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIK43B_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) + (net (rename gnum_interface_block_cmp_clk_in_ddly_m "gnum_interface_block.cmp_clk_in.ddly_m") (joined + (portRef DATAOUT (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNISLRE_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) + (net (rename gnum_interface_block_cmp_clk_in_cal_clkZ0 "gnum_interface_block.cmp_clk_in.cal_clk") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_cal_clk)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) + (portRef CAL (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNITPRE_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2)) + (net (rename gnum_interface_block_cmp_clk_in_rst_clkZ0 "gnum_interface_block.cmp_clk_in.rst_clk") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_rst_clk)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) + (portRef RST (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIUTRE_12)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) + (net (rename gnum_interface_block_cmp_clk_in_ddly_s "gnum_interface_block.cmp_clk_in.ddly_s") (joined + (portRef DATAOUT (instanceRef gnum_interface_block_cmp_clk_in_iodelay_s)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIV1SE_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_4_2)) + (net (rename gnum_interface_block_cmp_clk_in_buf_P_clk "gnum_interface_block.cmp_clk_in.buf_P_clk") (joined + (portRef DIVCLK (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2_inst)) + (portRef CLKIN1 (instanceRef gnum_interface_block_cmp_clk_in_rx_pll_adv_inst)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI06SE_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_4_2)) + (net (rename gnum_interface_block_cmp_clk_in_P_clk "gnum_interface_block.cmp_clk_in.P_clk") (joined + (portRef DFB (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) + (portRef I (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2_inst)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI1ASE_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) + (net (rename gnum_interface_block_cmp_clk_in_buf_pll_fb_clk "gnum_interface_block.cmp_clk_in.buf_pll_fb_clk") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2fb_inst)) + (portRef CLKFBIN (instanceRef gnum_interface_block_cmp_clk_in_rx_pll_adv_inst)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI2ESE_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) + (net (rename gnum_interface_block_cmp_clk_in_feedback "gnum_interface_block.cmp_clk_in.feedback") (joined + (portRef CFB0 (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) + (portRef I (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2fb_inst)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI3ISE_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_4_2)) + (net (rename gnum_interface_block_cmp_clk_in_cascade "gnum_interface_block.cmp_clk_in.cascade") (joined + (portRef SHIFTOUT (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) + (portRef SHIFTIN (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI4MSE_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) + (net (rename gnum_interface_block_cmp_clk_in_pd_edge "gnum_interface_block.cmp_clk_in.pd_edge") (joined + (portRef SHIFTOUT (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) + (portRef SHIFTIN (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI5QSE_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) + (net (rename gnum_interface_block_cmp_clk_in_rx_pllout_x1 "gnum_interface_block.cmp_clk_in.rx_pllout_x1") (joined + (portRef CLKOUT2 (instanceRef gnum_interface_block_cmp_clk_in_rx_pll_adv_inst)) + (portRef I (instanceRef gnum_interface_block_cmp_clk_in_bufg_135)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNITLRE_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) + (net (rename gnum_interface_block_cmp_clk_in_rx_bufplllckd "gnum_interface_block.cmp_clk_in.rx_bufplllckd") (joined + (portRef LOCK (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_inst)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIUPRE_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_3_3)) + (net (rename gnum_interface_block_cmp_clk_in_busydZ0 "gnum_interface_block.cmp_clk_in.busyd") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_busyd)) + (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNIVTRE_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_0 "gnum_interface_block.cmp_clk_in.counter(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0)) + (portRef CI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_1)) + (portRef CI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_cry_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI02SE_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_11 "gnum_interface_block.cmp_clk_in.counter(11)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_11)) + (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_11)) + (portRef I4 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI16SE_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) + (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_6 "gnum_interface_block.cmp_clk_in.state(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI2ASE_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) + (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_7 "gnum_interface_block.cmp_clk_in.state(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI3ESE_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) + (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_5 "gnum_interface_block.cmp_clk_in.state(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI4ISE_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_3)) + (net (rename gnum_interface_block_cmp_clk_in_busyd_3 "gnum_interface_block.cmp_clk_in.busyd_3") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_busyd)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_RNI5MSE_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) + (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_4 "gnum_interface_block.cmp_clk_in.state(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_s_RNO_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_1 "gnum_interface_block.cmp_clk_in.counter(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o6_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_2 "gnum_interface_block.cmp_clk_in.counter(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_3 "gnum_interface_block.cmp_clk_in.counter(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o5_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_4 "gnum_interface_block.cmp_clk_in.counter(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o6_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_5 "gnum_interface_block.cmp_clk_in.counter(5)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o5_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o6_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_6 "gnum_interface_block.cmp_clk_in.counter(6)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o5_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_7 "gnum_interface_block.cmp_clk_in.counter(7)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_8 "gnum_interface_block.cmp_clk_in.counter(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_9 "gnum_interface_block.cmp_clk_in.counter(9)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_8)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) + (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_10 "gnum_interface_block.cmp_clk_in.counter(10)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_10)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_9)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) + (net (rename gnum_interface_block_cmp_clk_in_counter_3_0 "gnum_interface_block.cmp_clk_in.counter_3(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) + (net (rename gnum_interface_block_cmp_clk_in_counter_3_11 "gnum_interface_block.cmp_clk_in.counter_3(11)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) + (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_8 "gnum_interface_block.cmp_clk_in.state(8)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) + (net (rename gnum_interface_block_cmp_clk_in_enableZ0 "gnum_interface_block.cmp_clk_in.enable") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_enable)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) + (net (rename gnum_interface_block_cmp_clk_in_state_ns_0 "gnum_interface_block.cmp_clk_in.state_ns(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_state_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) + (net (rename gnum_interface_block_cmp_clk_in_state_ns_4 "gnum_interface_block.cmp_clk_in.state_ns(4)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_state_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) + (net (rename gnum_interface_block_cmp_clk_in_state_ns_1 "gnum_interface_block.cmp_clk_in.state_ns(1)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_clk_in_state_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o5_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_430 "cmp_sdb_crossbar.un1_crossbar_1(430)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o6_1)) + (portRef ADDRA_5 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o6_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_431 "cmp_sdb_crossbar.un1_crossbar_1(431)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef ADDRA_6 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_18)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_432 "cmp_sdb_crossbar.un1_crossbar_1(432)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o5_1)) + (portRef ADDRA_7 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_19)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_433 "cmp_sdb_crossbar.un1_crossbar_1(433)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef ADDRA_8 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o6_20)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_434 "cmp_sdb_crossbar.un1_crossbar_1(434)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o6_1)) + (portRef ADDRA_9 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_435 "cmp_sdb_crossbar.un1_crossbar_1(435)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7)) + (portRef ADDRA_10 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_436 "cmp_sdb_crossbar.un1_crossbar_1(436)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef ADDRA_11 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) + (net (rename cmp_sdb_crossbar_un1_crossbar_1_437 "cmp_sdb_crossbar.un1_crossbar_1(437)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef ADDRA_12 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_24)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o5_20)) + (net (rename cmp_sdb_crossbar_master_i_1_6_ack "cmp_sdb_crossbar.master_i_1_6.ack") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_ack)) + (portRef I0 (instanceRef cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_7 "cmp_sdb_crossbar.crossbar.matrix_old(7)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_7)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIBJKR_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o5_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o6_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o5_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o6_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o5_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o6_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o5_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o6_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o6_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIJA9O_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1GBR_o6_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI78CR_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o5_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIKU9O_o6_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o5_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI4P7L_o6_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o5_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI1KBR_o6_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o5_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBOCR_o6_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQEAO_o6_19)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o5_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o6_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o5_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o6_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o6_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o5_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o6_26)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o5_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o6_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPFHD_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_6 "cmp_sdb_crossbar.crossbar.matrix_old(6)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o5_24)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o6_24)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o5_23)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o6_23)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o5_19)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o6_19)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o5_28)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o6_28)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o5_26)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o6_26)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o5_25)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o6_25)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o5_20)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o6_20)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o5_18)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o6_18)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o5_17)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o6_17)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o5_15)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o6_15)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o6_13)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o5_14)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o6_14)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o5_13)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o6_13)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o5_11)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o6_11)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o5_10)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o6_10)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_9)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_9)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_8)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_8)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o5_7)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o6_7)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o5_6)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o6_6)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o5_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o6_5)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_4)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_2)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o5)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o6)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o5_22)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o5_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o5_29)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o6_29)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o5_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o6_1)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o5_21)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o5_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o6_13)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o5_27)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o6_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o5_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o6_10)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o5_12)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o6_12)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o5)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIN7JS2_6)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIM7JS2_5)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIL7JS2_4)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO_0)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIFUSK2_0)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIGUSK2_1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIK7JS2_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_5 "cmp_sdb_crossbar.crossbar.matrix_old(5)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_5)) + (portRef I4 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o5_0)) + (portRef I3 (instanceRef cmp_carrier_csr_ack_sreg_RNIJT841_o6_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o6_5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I2 (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o5_7)) + (portRef I0 (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o6_7)) + (portRef I1 (instanceRef cmp_carrier_csr_ack_sreg_RNI2KJ7_o5_0)) + (portRef I1 (instanceRef cmp_carrier_csr_ack_sreg_RNI2KJ7_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIG2AO_o6_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU7BR_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0GBR_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o5_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI7CCR_o6_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_30)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_30)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIREAO_o6_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUO7L_o6_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI0KBR_o6_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o5_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o6_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o6_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o6_12)) + (portRef I1 (instanceRef cmp_carrier_csr_rddata_reg_RNITNIJ_o5_0)) + (portRef I0 (instanceRef cmp_carrier_csr_rddata_reg_RNITNIJ_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o5_2)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_21_5)) + (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_22_2)) + (portRef I2 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) + (portRef I1 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31_0)) + (portRef I1 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_6_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_4 "cmp_sdb_crossbar.crossbar.matrix_old(4)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_4)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o5_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o6_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o6)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o5_21)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o5_0)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o6_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUBBR_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o5_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBM9O_o6_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM6AO_o6_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o5_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIVJBR_o6_25)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIUJBR_o6_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o5_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIB0DR_o6_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o5_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIU9O_o6_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI48CR_o6_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIQVAR_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o5_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIF6AO_o6_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o5_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI38CR_o6_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o5_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIH2AO_o6_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o5_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o6_31)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o5_0)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o5_19)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o6_19)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o5_20)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o6_20)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o5_21)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o6_21)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o5_22)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o6_22)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o5_23)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o6_23)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o5_27)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o6_27)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o5_29)) + (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o6_29)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_30)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_23)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_25)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_26)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_29)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_31)) + (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxa_2)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_22)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_24)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_27)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_28)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_19)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_20)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_0)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_1)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_18)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_17)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_16)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_15)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_14)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_13)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_12)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_11)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_10)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_9)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_8)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_7)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_6)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_5)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_4)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_3)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0)) + (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_3 "cmp_sdb_crossbar.crossbar.matrix_old(3)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_3)) + (portRef I2 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o5)) + (portRef I0 (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o6)) + (portRef I1 (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o5_1)) + (portRef I1 (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o6_1)) + (portRef I1 (instanceRef cmp_dma_eic_ack_sreg_RNIKS5P_o5_0)) + (portRef I1 (instanceRef cmp_dma_eic_ack_sreg_RNIKS5P_o6_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o5_2)) + (portRef I2 (instanceRef cmp_dma_eic_rddata_reg_96_0)) + (portRef I2 (instanceRef cmp_dma_eic_rddata_reg_96_1)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) + (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d1_9_1)) + (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) + (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO)) + )) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_2 "cmp_sdb_crossbar.crossbar.matrix_old(2)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o5)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o6_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o6_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o6_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o6_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o6_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o6_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o5_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o6_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o6_4)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o5_1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o6_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o5_14)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o6_14)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o5_10)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o6_10)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o5_11)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o6_11)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o6_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o6_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o5_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o6_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o5_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o6_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o6_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o6_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o5_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o6_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o6_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_0)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_9_2)) + (portRef I (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIG6R5_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIQVTB1_1)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI479G2_4)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI679G2_6)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI579G2_5)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_17)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_30)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_1 "cmp_sdb_crossbar.crossbar.matrix_old(1)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o6_1)) + (portRef I0 (instanceRef cmp_dma_eic_ack_sreg_RNIKS5P_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o6_1)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o5_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_hZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_h(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_31)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_0 "cmp_sdb_crossbar.crossbar.matrix_old(0)") (joined + (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) + (net (rename cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1 "cmp_sdb_crossbar.rom.slave_clk.slave_o.ack_1") (joined + (portRef O (instanceRef cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o5)) + (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_ack)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o5_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) + (net N_83_0_i (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o6_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) + (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_4 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(4)") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) + (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_3 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(3)") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) + (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_2 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(2)") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_last_packetZ0 "gnum_interface_block.cmp_l2p_dma_master.l2p_last_packet") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o5_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNO)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) + (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_1 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(1)") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_data_fifo_validZ0 "gnum_interface_block.cmp_l2p_dma_master.data_fifo_valid") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) + (net N_84_0_i (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o6_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNI84NB_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI7KB21_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI9OB21_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII99T_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIKH9T_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFGVP_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIHKVP_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJOVP_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILSVP_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIN00Q_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP40Q_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR80Q_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNITC0Q_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIM8VP_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIOCVP_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJKVP_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILOVP_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINSVP_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP00Q_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR40Q_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIT80Q_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIVC0Q_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI1H0Q_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII88E_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24_RNO)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_30)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_29)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_28)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_26)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_25)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_24)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_22)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_20)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_19)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_18)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_17)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_16)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_15)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_14)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_13)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_12)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_cry_7_RNO)) + (net (rename cmp_tdc_clks_rsts_mgment_tdc_clk_buf "cmp_tdc_clks_rsts_mgment.tdc_clk_buf") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_tdc_clk125_ibuf)) + (portRef I (instanceRef cmp_tdc_clks_rsts_mgment_tdc_clk125_gbuf)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_64b_addressZ0 "gnum_interface_block.cmp_l2p_dma_master.l2p_64b_address") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o5_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) + (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk "cmp_tdc_clks_rsts_mgment.acam_refclk") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk31M25_ibuf)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_validZ0 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_valid") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_valid)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_sel_o_1_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_30)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_cyc_t_e)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_in_synchZ0Z_1 "cmp_tdc_clks_rsts_mgment.rst_in_synch(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_1)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o6)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_2)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_0)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_config_st_5)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_3)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_4)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_1)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_6)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_rst)) + (portRef I (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_RNIS1FA_1)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_2)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_3)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_4)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_6)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_7)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_8)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_17)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_16)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_15)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_14)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_13)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_12)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_11)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_10)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_9)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_8)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_7)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_6)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_5)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_4)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_3)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_2)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_1)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_0)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_1)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_0)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_2)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_1)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_0)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_23)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_22)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_21)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_20)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_19)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_18)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_17)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_16)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_15)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_14)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_13)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_12)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_11)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_10)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_9)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_8)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_7)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_6)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_5)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_4)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_3)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_2)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_1)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_0)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_dac_sync_n_o)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_cs_n_o)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_4)) + (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_3)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_2)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_1)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_0)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_3)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_2)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_1)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o5_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o6_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o5_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o6_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o5_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o6_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o5_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o6_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o6_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o5_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o6_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o5_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o6_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o5_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o6_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o5_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o6_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o5_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o6_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o5_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o6_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o6_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o5_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o6_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) + (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk_synchZ0Z_2 "cmp_tdc_clks_rsts_mgment.acam_refclk_synch(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_e)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_waitingfor_refclk_i_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_0_d)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_1_2_6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) + (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_p_synchZ0Z_2 "cmp_tdc_clks_rsts_mgment.send_dac_word_p_synch(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_p)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_done_o)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) + (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_4 "cmp_tdc_clks_rsts_mgment.config_st(4)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_4)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o6_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) + (portRef I (instanceRef cmp_tdc_clks_rsts_mgment_pll_dac_sync_n_o_RNO)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o5_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o6_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o6_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o5_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o6_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o5_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o5_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o6_20)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_0 "cmp_tdc_clks_rsts_mgment.pll_byte_index(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_0)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI3DBJ_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_1 "cmp_tdc_clks_rsts_mgment.pll_byte_index(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI3DBJ_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_gen_data_fifo_valid_data_fifo_valid_1 "gnum_interface_block.cmp_l2p_dma_master.p_gen_data_fifo_valid.data_fifo_valid_1") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_2 "cmp_tdc_clks_rsts_mgment.pll_byte_index(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIUEQP_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_headerZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o6_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_3 "cmp_tdc_clks_rsts_mgment.pll_byte_index(3)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIUEQP_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o6_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o5_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o6_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o5_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_4 "cmp_tdc_clks_rsts_mgment.pll_byte_index(4)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_4)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_4)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIUEQP_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_op_le_un8lto0 "gnum_interface_block.cmp_l2p_dma_master.op_le.un8lto0") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_RNO_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2_1)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_5 "cmp_tdc_clks_rsts_mgment.pll_byte_index(5)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_5)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIV4HN_5)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIUEQP_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_op_le_un8lto1 "gnum_interface_block.cmp_l2p_dma_master.op_le.un8lto1") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_RNI0QAF_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2_1)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_6 "cmp_tdc_clks_rsts_mgment.pll_byte_index(6)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_6)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI3DBJ_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o6_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_713_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) + (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_5 "cmp_tdc_clks_rsts_mgment.config_st(5)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_5)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o6_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_714_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) + (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_3 "cmp_tdc_clks_rsts_mgment.config_st(3)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_3)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o5_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o6_2)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_715_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5)) + (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_2 "cmp_tdc_clks_rsts_mgment.config_st(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o5_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o6_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_716_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5)) + (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_1 "cmp_tdc_clks_rsts_mgment.config_st(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_4)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIV4HN_5)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_6)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI6B2H_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_717_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_0 "cmp_tdc_clks_rsts_mgment.pll_bit_index(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_0)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o5_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_718_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_1 "cmp_tdc_clks_rsts_mgment.pll_bit_index(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_1)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1_RNO)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_8)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o6_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_719_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_2 "cmp_tdc_clks_rsts_mgment.pll_bit_index(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_2_RNO)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_720_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_3 "cmp_tdc_clks_rsts_mgment.pll_bit_index(3)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_10)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_721_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o6_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o6_0)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_stateZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_1 "cmp_tdc_clks_rsts_mgment.dac_bit_index(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_1)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ57H2_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI8U7S_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_2 "cmp_tdc_clks_rsts_mgment.dac_bit_index(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_2)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI88182_18)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNILLOM1_2)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIK97H2_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI8U7S_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_3 "cmp_tdc_clks_rsts_mgment.dac_bit_index(3)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI88182_18)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNILLOM1_2)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILD7H2_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI8U7S_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_4 "cmp_tdc_clks_rsts_mgment.dac_bit_index(4)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_4)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIOR5J3_4)) + (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNI2QD44_4)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIMH7H2_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI8U7S_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) + (net (rename cmp_tdc_clks_rsts_mgment_internal_rst_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.internal_rst_synch(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0_1)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINL7H2_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI8U7S_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) + (net (rename cmp_tdc_clks_rsts_mgment_rstZ0 "cmp_tdc_clks_rsts_mgment.rst") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIMH7H2_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINL7H2_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ57H2_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIK97H2_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILD7H2_2)) + (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk_synchZ0Z_1 "cmp_tdc_clks_rsts_mgment.acam_refclk_synch(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_e)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_waitingfor_refclk_i_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_0_d)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI7KB21_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) + (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_pZ0 "cmp_tdc_clks_rsts_mgment.send_dac_word_r_edge_p") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_p)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_23)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_22)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_21)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_20)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_19)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_18)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_17)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_16)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_15)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_14)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_13)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_12)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_11)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_10)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_9)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_8)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_7)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_6)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_5)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_4)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_3)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_2)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_1)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI9OB21_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) + (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_p_synchZ0Z_1 "cmp_tdc_clks_rsts_mgment.send_dac_word_p_synch(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_1)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_p)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII99T_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_in_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.rst_in_synch(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_0)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIKH9T_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) + (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.acam_refclk_synch(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_0)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFGVP_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ0N4_11)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_status_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.pll_status_synch(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_0)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIHKVP_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ0N4_11)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_0 "cmp_tdc_clks_rsts_mgment.rst_cnt(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_0)) + (portRef I0 (instanceRef rst_0_sqmuxa_i)) + (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) + (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_7)) + (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_6)) + (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) + (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) + (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_3)) + (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_2)) + (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_1)) + (portRef CI (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_1)) + (portRef CI (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_cry_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJOVP_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_1 "cmp_tdc_clks_rsts_mgment.rst_cnt(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIU601_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILSVP_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_2 "cmp_tdc_clks_rsts_mgment.rst_cnt(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_2)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_2)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIU601_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIN00Q_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_3 "cmp_tdc_clks_rsts_mgment.rst_cnt(3)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_3)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIU601_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP40Q_15)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_4 "cmp_tdc_clks_rsts_mgment.rst_cnt(4)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_4)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_4)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIU601_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR80Q_16)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_5 "cmp_tdc_clks_rsts_mgment.rst_cnt(5)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_5)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_5)) + (portRef I0 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIL3G_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNITC0Q_17)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_6 "cmp_tdc_clks_rsts_mgment.rst_cnt(6)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_6)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_6)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIL3G_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIM8VP_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIS9E9_18)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_7 "cmp_tdc_clks_rsts_mgment.rst_cnt(7)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_7)) + (portRef I1 (instanceRef rst_0_sqmuxa_i)) + (portRef I3 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_7)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_7)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_6)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_3)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_2)) + (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIOCVP_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIS9E9_18)) + (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_p_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.send_dac_word_p_synch(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_0)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJKVP_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIS9E9_18)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_0 "cmp_tdc_clks_rsts_mgment.dac_word(0)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_0)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILOVP_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIS9E9_18)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_1 "cmp_tdc_clks_rsts_mgment.dac_word(1)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_1)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINSVP_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI2FT52_22)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_2 "cmp_tdc_clks_rsts_mgment.dac_word(2)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_2)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNILLOM1_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP00Q_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI2FT52_22)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_3 "cmp_tdc_clks_rsts_mgment.dac_word(3)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_3)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR40Q_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_4 "cmp_tdc_clks_rsts_mgment.dac_word(4)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_4)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIT80Q_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_5 "cmp_tdc_clks_rsts_mgment.dac_word(5)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_5)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIVC0Q_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_6 "cmp_tdc_clks_rsts_mgment.dac_word(6)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_6)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNILLOM1_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI1H0Q_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_7 "cmp_tdc_clks_rsts_mgment.dac_word(7)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_7)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII88E_28)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_8 "cmp_tdc_clks_rsts_mgment.dac_word(8)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_8)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_cnt(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_29)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24_RNO)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_9 "cmp_tdc_clks_rsts_mgment.dac_word(9)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_9)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_10 "cmp_tdc_clks_rsts_mgment.dac_word(10)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_10)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI88182_18)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNILLOM1_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o5_1)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_11 "cmp_tdc_clks_rsts_mgment.dac_word(11)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_11)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o6_2)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_12 "cmp_tdc_clks_rsts_mgment.dac_word(12)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_12)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o6_3)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_13 "cmp_tdc_clks_rsts_mgment.dac_word(13)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_13)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o6_4)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_14 "cmp_tdc_clks_rsts_mgment.dac_word(14)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_14)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI88182_18)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNILLOM1_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o6_5)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_15 "cmp_tdc_clks_rsts_mgment.dac_word(15)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_15)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o5_6)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_16 "cmp_tdc_clks_rsts_mgment.dac_word(16)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_16)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_cry_7_RNO)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_17 "cmp_tdc_clks_rsts_mgment.dac_word(17)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_17)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o5_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_8)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_18 "cmp_tdc_clks_rsts_mgment.dac_word(18)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_18)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI88182_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o6_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_9)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_19 "cmp_tdc_clks_rsts_mgment.dac_word(19)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_19)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o6_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_10)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_20 "cmp_tdc_clks_rsts_mgment.dac_word(20)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_20)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o5_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_11)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_21 "cmp_tdc_clks_rsts_mgment.dac_word(21)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_21)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_12)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_22 "cmp_tdc_clks_rsts_mgment.dac_word(22)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_22)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI88182_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_13)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_23 "cmp_tdc_clks_rsts_mgment.dac_word(23)") (joined + (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_23)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_14)) + (net (rename cmp_tdc_clks_rsts_mgment_N_189s "cmp_tdc_clks_rsts_mgment.N_189s") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_config_st_4)) + )) + (net (rename cmp_tdc_clks_rsts_mgment_N_190s "cmp_tdc_clks_rsts_mgment.N_190s") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_config_st_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o5_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_15)) + (net (rename cmp_tdc_clks_rsts_mgment_N_192s "cmp_tdc_clks_rsts_mgment.N_192s") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_1)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_config_st_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o5_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_16)) + (net N_18_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNIKT9L1_0)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIN7JS2_6)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIM7JS2_5)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIL7JS2_4)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIFUSK2_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIGUSK2_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIK7JS2_3)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o5_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_17)) + (net N_58_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_18)) + (net N_63 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9OTG1)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_0)) + (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_19)) + (net N_159 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o6_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_20)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp_0_sqmuxa") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_21)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_14") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_22)) + (net N_976 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_23)) + (net N_13 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_done_o)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o5_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_24)) + (net N_1267 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un12_l2p_dma_current_state_i_0_a2_0_a4_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o6_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_25)) + (net N_1340 (joined + (portRef O (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_0)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_1)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_2)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_3)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_4)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_5)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_6)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_7)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_8)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_9)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_10)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_11)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_12)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_13)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_14)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_15)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_16)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_17)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_18)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_19)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_20)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_21)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_22)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_23)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_24)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_25)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_26)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_27)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_28)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_29)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_30)) + (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_31)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o5_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_26)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_2_sqmuxa "cmp_tdc_clks_rsts_mgment.pll_sdi_o_2_sqmuxa") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o6)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_sclk)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_sclk_oreg)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o5_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_27)) + (net N_1845 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o6_0)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o5_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_28)) + (net (rename cmp_tdc_clks_rsts_mgment_dac_index_control_dac_bit_index_5_3 "cmp_tdc_clks_rsts_mgment.dac_index_control.dac_bit_index_5(3)") (joined + (portRef LO (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o6_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_29)) + (net N_77_0 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o6_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_30)) + (net N_80_0 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o6_2)) + (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_cs_n_o)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_address_lZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.l2p_address_l(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o6_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_axb_31)) + (net N_82_0 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) + (portRef ADDRAWRADDR_4 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_din_1_sqmuxa") (joined - (portRef O (instanceRef gnum_interface_block_rst_reg_RNI133T1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_29)) + (net N_83_0 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5)) + (portRef ADDRAWRADDR_5 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_0)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIH6L7_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIIAL7_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIJEL7_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIKIL7_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNILML7_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIMQL7_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNINUL7_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIO2M7_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIP6M7_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNI90I4_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIA0I4_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIB0I4_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIC0I4_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNID0I4_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIE0I4_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIF0I4_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIG0I4_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIH0I4_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNII0I4_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIC4I4_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNID4I4_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIE4I4_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIF4I4_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIG4I4_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIH4I4_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNII4I4_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIJ4I4_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIK4I4_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIL4I4_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_30)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_RNIF8I4_30)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cntZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_RNO_31)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ57H2_0)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) + (net N_84_0 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6)) + (portRef ADDRAWRADDR_10 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIK97H2_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) + (net (rename cmp_tdc_clks_rsts_mgment_pll_index_control_pll_bit_index_6_0 "cmp_tdc_clks_rsts_mgment.pll_index_control.pll_bit_index_6(0)") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o5_2)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILD7H2_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) + (net N_1863 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_5)) + (portRef ADDRAWRADDR_9 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIMH7H2_3)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) + (net N_1864 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_4)) + (portRef ADDRAWRADDR_8 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_len_header_4(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINL7H2_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) + (net N_1865 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_3)) + (portRef ADDRAWRADDR_7 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0_RNO)) - (portRef I (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI8JKD_0_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI8JKD_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI9JKD_0_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI9JKD_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIAJKD_0_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIAJKD_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIBJKD_0_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIBJKD_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNICJKD_0_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNICJKD_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIDJKD_0_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIDJKD_6)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIEJKD_0_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIEJKD_7)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIFJKD_0_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIFJKD_8)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIGJKD_0_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIGJKD_9)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIOS26_0_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIOS26_10)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIP036_0_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIP036_11)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ436_0_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ436_12)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR836_0_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR836_13)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNISC36_0_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNISC36_14)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITG36_0_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITG36_15)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUK36_0_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUK36_16)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVO36_0_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVO36_17)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0T36_0_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0T36_18)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1146_0_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1146_19)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIPS26_0_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIPS26_20)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ036_0_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQ036_21)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR436_0_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIR436_22)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIS836_0_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIS836_23)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITC36_0_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNITC36_24)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUG36_0_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIUG36_25)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVK36_0_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIVK36_26)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0P36_0_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI0P36_27)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1T36_0_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI1T36_28)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI2146_0_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNI2146_29)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQS26_0_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_RNIQS26_30)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cntZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_RNO_31)) + (net N_1866 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_2)) + (portRef ADDRAWRADDR_6 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_8 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_8)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) + (net N_1869 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_9 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) + (net N_1870 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_10 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_10)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_10)) + (net N_1997 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNISMUQ_o6_31)) + (portRef ADDRB_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_11 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_11)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_11)) + (net N_2004 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o6_24)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_12 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_12)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_12)) + (net N_27_1 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o6_23)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_13 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_13)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_13)) + (net N_33_1 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o6_19)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_14 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_14)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_14)) + (net N_49_1 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1_RNO)) + (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_15 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_15)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_15)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_N_18 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_N_18") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19_RNO)) + (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_16 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_16)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_16)) + (net N_65_1 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11_RNO)) + (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_17 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_17)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_17)) + (net (rename cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_N_4 "cmp_vic.U_Wrapped_VIC.vic_fsm.un6_timeout_count_0_N_4") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35_RNO)) + (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_35)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_18 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_18)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_18)) + (net N_135_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43_RNO)) + (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_19 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_19)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) + (net N_143_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27_RNO)) + (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_27)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_20 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_20)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) + (net N_164_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o6_28)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_21 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_21)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) + (net N_177_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o6_26)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_22 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_22)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) + (net N_184_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o6_25)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_23 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_23)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) + (net N_218_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o6_2)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_15)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_14)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_13)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_12)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_11)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_10)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_9)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_8)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_7)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_6)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_5)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_4)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_3)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_2)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1)) + (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_24 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_24)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) + (net N_219_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o5_1)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_25 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_25)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_25)) + (net N_221_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIHS321_o5_2)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_26 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_26)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_26)) + (net N_244_0 (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_27 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_27)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_27)) + (net N_246_0 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o5)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_15)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_14)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_13)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_12)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_11)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_10)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_9)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_8)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_7)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_6)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_5)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_4)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_3)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_2)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_28 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_28)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_28)) + (net N_2060 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o6_20)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_29 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_29)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_29)) + (net N_2063 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o6_18)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_30 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_30)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_30)) + (net N_2072 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o6_17)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_31 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_address_l_4(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_s_31)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_31)) + (net N_2075 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o6_15)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_0)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_cry_1)) + (net N_2089 (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o6_13)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIM2QB_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1)) + (net N_2090 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o6_14)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIN6QB_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_2)) + (net N_2101 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o6_13)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIOAQB_3)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_3)) + (net N_2107 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o6_11)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIPEQB_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_4)) + (net N_2119 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o6_10)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIQIQB_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_5)) + (net N_2122 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_9)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIRMQB_6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_6)) + (net N_2129 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o6_8)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNISQQB_7)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_7)) + (net N_417 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o6_7)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNITUQB_8)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_8)) + (net N_2131 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o6_6)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIU2RB_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_9)) + (net N_427 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o6_5)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI0071_10)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_10)) + (net N_433 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_4)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI1071_11)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_11)) + (net N_2135 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o6_2)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI2071_12)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_12)) + (net N_444 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_ack_RNI9EUI1_o5)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI3071_13)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_13)) + (net N_2315 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_26)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI4071_14)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_14)) + (net N_460 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI5071_15)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_15)) + (net N_466 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o5_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI6071_16)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_16)) + (net N_468 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_o2_lut6_2_o6)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI7071_17)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_17)) + (net N_471 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI8071_18)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_18)) + (net N_2333 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI9071_19)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_19)) + (net N_2334 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI3471_20)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_20)) + (net N_2335 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_o5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI4471_21)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_21)) + (net N_2336 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_1_i_o2_0_lut6_2_o6_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI5471_22)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_22)) + (net N_2340 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI6471_23)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_23)) + (net N_522 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI7471_24)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_24)) + (net N_523 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI8471_25)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_25)) + (net N_524 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNI9471_26)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_26)) + (net N_2346 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIA471_27)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_27)) + (net N_2347 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIB471_28)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_28)) + (net N_532 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cntZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_29)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_s_RNO_29)) + (net N_533 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_12)) + )) + (net N_534 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_cyc_t_e)) + (net N_535 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_1 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) + (net N_536 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_2 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) + (net N_537 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_3 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) + (net N_539 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_4 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) + (net N_2348 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_5 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) + (net N_2349 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_6 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) + (net N_544 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_7 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) + (net N_545 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_19)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_8 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_8)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) + (net N_546 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_9 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) + (net N_547 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_10 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) + (net N_548 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_11 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_11)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) + (net N_549 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o5_10)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_12 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_12)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) + (net N_2351 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o6)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_26)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_27)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_28)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_29)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_11)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_12)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_13)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_14)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_15)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_16)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_1)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_13 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_13)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) + (net N_571 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_14 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_14)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) + (net N_576 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_15 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_15)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) + (net N_577 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_16 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_16)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) + (net N_578 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_17 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_17)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) + (net N_579 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_18 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_18)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) + (net N_580 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_19 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_19)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) + (net N_581 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_20 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_20)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) + (net N_582 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_21 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) + (net N_583 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_22 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) + (net N_2357 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_23 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_23)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) + (net N_2358 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_12)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_24 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_24)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) + (net N_2359 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_iv_0_0_lut6_2_o5_26)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_13)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_25 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_25)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) + (net N_587 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_12_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_14)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_26 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_26)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) + (net N_588 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_27 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) + (net N_589 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_28 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_28)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) + (net N_590 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_16_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_29 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_29)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) + (net N_591 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_17_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_30 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) + (net N_592 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_1_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_20)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_31 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) + (net N_593 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_2_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_21)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnte "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnte") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_10)) + (net N_594 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_3_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_22)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_7)) + (net N_2360 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_4_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_23)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_6)) + (net N_2361 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_5_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_25)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_0_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) + (net N_2362 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_6_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_27)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_1)) + (net N_598 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_7_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_28)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_0)) + (net N_599 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_8_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_29)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o5_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_4)) + (net N_600 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_9_i_i_a5_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_30)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_804 "gnum_interface_block.cmp_l2p_dma_master.N_804") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_6)) + (net N_601 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_31)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_824 "gnum_interface_block.cmp_l2p_dma_master.N_824") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_7)) + (net N_656 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o5_27)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_844 "gnum_interface_block.cmp_l2p_dma_master.N_844") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_8)) + (net N_699 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1G3T1_o6_27)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_864 "gnum_interface_block.cmp_l2p_dma_master.N_864") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9)) + (net N_707 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_18)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1084 "gnum_interface_block.cmp_l2p_dma_master.N_1084") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_20)) + (net N_708 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_10_0_m3_i_m4_i_m2_lut6_2_o5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1104 "gnum_interface_block.cmp_l2p_dma_master.N_1104") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_21)) + (net N_711 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o6_17)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1124 "gnum_interface_block.cmp_l2p_dma_master.N_1124") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_22)) + (net N_712 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o6_12)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1144 "gnum_interface_block.cmp_l2p_dma_master.N_1144") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_23)) + (net N_720 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o6_16)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1164 "gnum_interface_block.cmp_l2p_dma_master.N_1164") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_24)) + (net N_721 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_16)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1204 "gnum_interface_block.cmp_l2p_dma_master.N_1204") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_26)) + (net N_724 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o6_10)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1224 "gnum_interface_block.cmp_l2p_dma_master.N_1224") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_27)) + (net N_745 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o6_9)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1244 "gnum_interface_block.cmp_l2p_dma_master.N_1244") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_28)) + (net N_757 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o6_14)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_0 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) + (net N_759 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_4 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) + (net N_760 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o6_25)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_5 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) + (net N_762 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIF5J71_o5_17)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_1 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) + (net N_763 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o6_19)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_2 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) + (net N_819 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4_0_a3_0_a3_0_a5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un12_l2p_dma_current_state_i_0_a2_0_a4_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_3 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) + (net N_2482 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_8 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) + (net N_2489 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_9 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) + (net N_2490 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_6 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) + (net N_2491 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_7 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) + (net N_2495 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_toggleZ0 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_toggle") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_toggle)) - (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_0)) - (portRef I1 (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_toggle_e)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1 "gnum_interface_block.cmp_l2p_dma_master.addr_fifo_rd_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_valid)) + (portRef rd_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_syncZ0Z_0 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_sync(0)") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_0)) - (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_1)) + (net N_2564 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIOR5J3_4)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_syncZ0Z_1 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_sync(1)") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_1)) - (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_2)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (net N_2569 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNI2QD44_4)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_syncZ0Z_2 "gnum_interface_block.l_dma_irq_sync.1.cmp_dma_irq_sync.s_input_sync(2)") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_1_cmp_dma_irq_sync_s_input_sync_2)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) + (net N_2582 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO)) + (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_toggleZ0 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_toggle") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_toggle)) - (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_0)) - (portRef I1 (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_toggle_e)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_116 "cmp_tdc.un1_cmp_sdb_crossbar_1(116)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_syncZ0Z_0 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_sync(0)") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_0)) - (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_1)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_118 "cmp_tdc.un1_cmp_sdb_crossbar_1(118)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_syncZ0Z_1 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_sync(1)") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_1)) - (portRef D (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_2)) - (portRef I1 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_121 "cmp_tdc.un1_cmp_sdb_crossbar_1(121)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o5_14)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) )) - (net (rename gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_syncZ0Z_2 "gnum_interface_block.l_dma_irq_sync.0.cmp_dma_irq_sync.s_input_sync(2)") (joined - (portRef Q (instanceRef gnum_interface_block_l_dma_irq_sync_0_cmp_dma_irq_sync_s_input_sync_2)) - (portRef I0 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_122 "cmp_tdc.un1_cmp_sdb_crossbar_1(122)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o5_6)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_0)) - (portRef din_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_123 "cmp_tdc.un1_cmp_sdb_crossbar_1(123)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_1 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_1)) - (portRef din_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_124 "cmp_tdc.un1_cmp_sdb_crossbar_1(124)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_2 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_2)) - (portRef din_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_125 "cmp_tdc.un1_cmp_sdb_crossbar_1(125)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_3 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_3)) - (portRef din_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_126 "cmp_tdc.un1_cmp_sdb_crossbar_1(126)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_4 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_4)) - (portRef din_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_129 "cmp_tdc.un1_cmp_sdb_crossbar_1(129)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_5 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_5)) - (portRef din_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_130 "cmp_tdc.un1_cmp_sdb_crossbar_1(130)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_6 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_6)) - (portRef din_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_131 "cmp_tdc.un1_cmp_sdb_crossbar_1(131)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_7 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_7)) - (portRef din_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_132 "cmp_tdc.un1_cmp_sdb_crossbar_1(132)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_8 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_8)) - (portRef din_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_133 "cmp_tdc.un1_cmp_sdb_crossbar_1(133)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_9 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_9)) - (portRef din_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_134 "cmp_tdc.un1_cmp_sdb_crossbar_1(134)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_10 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_10)) - (portRef din_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_135 "cmp_tdc.un1_cmp_sdb_crossbar_1(135)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_11 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_11)) - (portRef din_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_136 "cmp_tdc.un1_cmp_sdb_crossbar_1(136)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_12 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_12)) - (portRef din_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_137 "cmp_tdc.un1_cmp_sdb_crossbar_1(137)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_13 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_13)) - (portRef din_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_138 "cmp_tdc.un1_cmp_sdb_crossbar_1(138)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o5_10)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_14 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_14)) - (portRef din_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_139 "cmp_tdc.un1_cmp_sdb_crossbar_1(139)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o5_11)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_15 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_15)) - (portRef din_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_140 "cmp_tdc.un1_cmp_sdb_crossbar_1(140)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_16 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_16)) - (portRef din_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_252 "cmp_tdc.un1_cmp_sdb_crossbar_1(252)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_8)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_17 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_17)) - (portRef din_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_253 "cmp_tdc.un1_cmp_sdb_crossbar_1(253)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o6_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_9)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_18 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_18)) - (portRef din_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_254 "cmp_tdc.un1_cmp_sdb_crossbar_1(254)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_10)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_2)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_19 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_19)) - (portRef din_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_m133_0 "cmp_tdc.m133_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_11)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_20 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_20)) - (portRef din_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_259 "cmp_tdc.un1_cmp_sdb_crossbar_1(259)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o5_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_7)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_7)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_15)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_7)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_7)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_21 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_21)) - (portRef din_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_ack "cmp_tdc.cnx_master_in_0.ack") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_ack_o)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_22 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_22)) - (portRef din_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_0 "cmp_tdc.cnx_master_in_0.dat(0)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o6_11)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_23 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_23)) - (portRef din_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_1 "cmp_tdc.cnx_master_in_0.dat(1)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_24 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_24)) - (portRef din_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_2 "cmp_tdc.cnx_master_in_0.dat(2)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_25 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_25)) - (portRef din_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_3 "cmp_tdc.cnx_master_in_0.dat(3)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_26 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_26)) - (portRef din_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_4 "cmp_tdc.cnx_master_in_0.dat(4)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_27 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_27)) - (portRef din_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_5 "cmp_tdc.cnx_master_in_0.dat(5)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_28 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_28)) - (portRef din_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_6 "cmp_tdc.cnx_master_in_0.dat(6)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_29 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_29)) - (portRef din_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_7 "cmp_tdc.cnx_master_in_0.dat(7)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_30 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_30)) - (portRef din_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_8 "cmp_tdc.cnx_master_in_0.dat(8)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_31 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_31)) - (portRef din_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_9 "cmp_tdc.cnx_master_in_0.dat(9)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_32 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(32)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_32)) - (portRef din_32 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_10 "cmp_tdc.cnx_master_in_0.dat(10)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_33 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(33)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_33)) - (portRef din_33 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_11 "cmp_tdc.cnx_master_in_0.dat(11)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o5_11)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_34 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(34)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_34)) - (portRef din_34 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_12 "cmp_tdc.cnx_master_in_0.dat(12)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_12)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_35 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(35)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_35)) - (portRef din_35 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_13 "cmp_tdc.cnx_master_in_0.dat(13)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_13)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_36 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(36)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_36)) - (portRef din_36 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_14 "cmp_tdc.cnx_master_in_0.dat(14)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_14)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_37 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(37)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_37)) - (portRef din_37 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_15 "cmp_tdc.cnx_master_in_0.dat(15)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_15)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_38 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(38)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_38)) - (portRef din_38 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_16 "cmp_tdc.cnx_master_in_0.dat(16)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_16)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_39 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(39)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_39)) - (portRef din_39 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_17 "cmp_tdc.cnx_master_in_0.dat(17)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_17)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_40 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(40)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_40)) - (portRef din_40 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_18 "cmp_tdc.cnx_master_in_0.dat(18)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_18)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_41 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(41)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_41)) - (portRef din_41 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_19 "cmp_tdc.cnx_master_in_0.dat(19)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_19)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_42 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(42)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_42)) - (portRef din_42 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_20 "cmp_tdc.cnx_master_in_0.dat(20)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_20)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_43 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(43)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_43)) - (portRef din_43 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_21 "cmp_tdc.cnx_master_in_0.dat(21)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_21)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_44 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(44)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_44)) - (portRef din_44 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_22 "cmp_tdc.cnx_master_in_0.dat(22)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_22)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_45 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(45)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_45)) - (portRef din_45 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_23 "cmp_tdc.cnx_master_in_0.dat(23)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_23)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_46 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(46)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_46)) - (portRef din_46 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_24 "cmp_tdc.cnx_master_in_0.dat(24)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_24)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_47 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(47)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_47)) - (portRef din_47 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_25 "cmp_tdc.cnx_master_in_0.dat(25)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_25)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_48 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(48)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_48)) - (portRef din_48 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_26 "cmp_tdc.cnx_master_in_0.dat(26)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_26)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_49 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(49)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_49)) - (portRef din_49 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_27 "cmp_tdc.cnx_master_in_0.dat(27)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_27)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_50 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(50)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_50)) - (portRef din_50 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_28 "cmp_tdc.cnx_master_in_0.dat(28)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_28)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_51 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(51)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_51)) - (portRef din_51 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_29 "cmp_tdc.cnx_master_in_0.dat(29)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_29)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_52 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(52)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_52)) - (portRef din_52 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_30 "cmp_tdc.cnx_master_in_0.dat(30)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_30)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_53 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(53)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_53)) - (portRef din_53 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_0_dat_31 "cmp_tdc.cnx_master_in_0.dat(31)") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_31)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_54 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(54)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_54)) - (portRef din_54 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_ack "cmp_tdc.cnx_master_in_1.ack") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_ack)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1OD24_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_55 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(55)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_55)) - (portRef din_55 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_0 "cmp_tdc.cnx_master_in_1.dat(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_56 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(56)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_56)) - (portRef din_56 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_1 "cmp_tdc.cnx_master_in_1.dat(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_57 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(57)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_57)) - (portRef din_57 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_2 "cmp_tdc.cnx_master_in_1.dat(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_58 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(58)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_58)) - (portRef din_58 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_3 "cmp_tdc.cnx_master_in_1.dat(3)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_59 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(59)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_59)) - (portRef din_59 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_4 "cmp_tdc.cnx_master_in_1.dat(4)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_60 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(60)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_60)) - (portRef din_60 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_5 "cmp_tdc.cnx_master_in_1.dat(5)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_61 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(61)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_61)) - (portRef din_61 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_6 "cmp_tdc.cnx_master_in_1.dat(6)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_62 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(62)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_62)) - (portRef din_62 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_7 "cmp_tdc.cnx_master_in_1.dat(7)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dinZ0Z_63 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_din(63)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_63)) - (portRef din_63 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_8 "cmp_tdc.cnx_master_in_1.dat(8)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wrZ0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_wr") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wr)) - (portRef wr_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_1_dat_9 "cmp_tdc.cnx_master_in_1.dat(9)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o5)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_full "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_full") (joined - (portRef prog_full (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef I (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIO5O2)) - (portRef I1 (instanceRef gnum_interface_block_p2l_rdy_o)) + (net (rename cmp_tdc_cnx_master_in_1_dat_10 "cmp_tdc.cnx_master_in_1.dat(10)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(0)") (joined - (portRef dout_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_0)) + (net (rename cmp_tdc_cnx_master_in_1_dat_11 "cmp_tdc.cnx_master_in_1.dat(11)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_11)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_1 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(1)") (joined - (portRef dout_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_1)) + (net (rename cmp_tdc_cnx_master_in_1_dat_12 "cmp_tdc.cnx_master_in_1.dat(12)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_12)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_2 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(2)") (joined - (portRef dout_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_2)) + (net (rename cmp_tdc_cnx_master_in_1_dat_13 "cmp_tdc.cnx_master_in_1.dat(13)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_13)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_3 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(3)") (joined - (portRef dout_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_3)) + (net (rename cmp_tdc_cnx_master_in_1_dat_14 "cmp_tdc.cnx_master_in_1.dat(14)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_14)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_4 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(4)") (joined - (portRef dout_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_4)) + (net (rename cmp_tdc_cnx_master_in_1_dat_15 "cmp_tdc.cnx_master_in_1.dat(15)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_15)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_5 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(5)") (joined - (portRef dout_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_5)) + (net (rename cmp_tdc_cnx_master_in_1_dat_16 "cmp_tdc.cnx_master_in_1.dat(16)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_16)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_6 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(6)") (joined - (portRef dout_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_6)) + (net (rename cmp_tdc_cnx_master_in_1_dat_17 "cmp_tdc.cnx_master_in_1.dat(17)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_17)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_7 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(7)") (joined - (portRef dout_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_7)) + (net (rename cmp_tdc_cnx_master_in_1_dat_18 "cmp_tdc.cnx_master_in_1.dat(18)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_18)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_8 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(8)") (joined - (portRef dout_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_8)) + (net (rename cmp_tdc_cnx_master_in_1_dat_19 "cmp_tdc.cnx_master_in_1.dat(19)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_19)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_9 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(9)") (joined - (portRef dout_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_9)) + (net (rename cmp_tdc_cnx_master_in_1_dat_20 "cmp_tdc.cnx_master_in_1.dat(20)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_20)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_10 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(10)") (joined - (portRef dout_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_10)) + (net (rename cmp_tdc_cnx_master_in_1_dat_21 "cmp_tdc.cnx_master_in_1.dat(21)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_21)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_11 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(11)") (joined - (portRef dout_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_11)) + (net (rename cmp_tdc_cnx_master_in_1_dat_22 "cmp_tdc.cnx_master_in_1.dat(22)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_22)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_12 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(12)") (joined - (portRef dout_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_12)) + (net (rename cmp_tdc_cnx_master_in_1_dat_23 "cmp_tdc.cnx_master_in_1.dat(23)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_23)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_13 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(13)") (joined - (portRef dout_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_13)) + (net (rename cmp_tdc_cnx_master_in_1_dat_24 "cmp_tdc.cnx_master_in_1.dat(24)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_24)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_14 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(14)") (joined - (portRef dout_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_14)) + (net (rename cmp_tdc_cnx_master_in_1_dat_25 "cmp_tdc.cnx_master_in_1.dat(25)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_25)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_15 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(15)") (joined - (portRef dout_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_15)) + (net (rename cmp_tdc_cnx_master_in_1_dat_26 "cmp_tdc.cnx_master_in_1.dat(26)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_26)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_16 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(16)") (joined - (portRef dout_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_16)) + (net (rename cmp_tdc_cnx_master_in_1_dat_27 "cmp_tdc.cnx_master_in_1.dat(27)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_27)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_17 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(17)") (joined - (portRef dout_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_17)) + (net (rename cmp_tdc_cnx_master_in_1_dat_28 "cmp_tdc.cnx_master_in_1.dat(28)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_28)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o5_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_18 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(18)") (joined - (portRef dout_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_18)) + (net (rename cmp_tdc_cnx_master_in_1_dat_29 "cmp_tdc.cnx_master_in_1.dat(29)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_29)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_19 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(19)") (joined - (portRef dout_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_19)) + (net (rename cmp_tdc_cnx_master_in_1_dat_30 "cmp_tdc.cnx_master_in_1.dat(30)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_30)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_20 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(20)") (joined - (portRef dout_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_20)) + (net (rename cmp_tdc_cnx_master_in_1_dat_31 "cmp_tdc.cnx_master_in_1.dat(31)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_31)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o6_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_21 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(21)") (joined - (portRef dout_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_21)) + (net (rename cmp_tdc_cnx_master_in_2_ack "cmp_tdc.cnx_master_in_2.ack") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_RNIPHMF6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_22 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(22)") (joined - (portRef dout_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_22)) + (net (rename cmp_tdc_cnx_master_in_2_dat_0 "cmp_tdc.cnx_master_in_2.dat(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNIBA9L_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_23 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(23)") (joined - (portRef dout_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_23)) + (net (rename cmp_tdc_cnx_master_in_2_dat_1 "cmp_tdc.cnx_master_in_2.dat(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o5_2)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_24 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(24)") (joined - (portRef dout_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_24)) + (net (rename cmp_tdc_cnx_master_in_2_dat_2 "cmp_tdc.cnx_master_in_2.dat(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o5)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_25 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(25)") (joined - (portRef dout_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_25)) + (net (rename cmp_tdc_cnx_master_in_3_ack "cmp_tdc.cnx_master_in_3.ack") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_26 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(26)") (joined - (portRef dout_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_26)) + (net (rename cmp_tdc_cnx_master_in_3_dat_0 "cmp_tdc.cnx_master_in_3.dat(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o6_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_27 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(27)") (joined - (portRef dout_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_27)) + (net (rename cmp_tdc_cnx_master_in_3_dat_1 "cmp_tdc.cnx_master_in_3.dat(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o5_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_28 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(28)") (joined - (portRef dout_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_28)) + (net (rename cmp_tdc_cnx_master_in_3_dat_2 "cmp_tdc.cnx_master_in_3.dat(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o6_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_29 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(29)") (joined - (portRef dout_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_29)) + (net (rename cmp_tdc_cnx_master_in_3_dat_3 "cmp_tdc.cnx_master_in_3.dat(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o5_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_30 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(30)") (joined - (portRef dout_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_30)) + (net (rename cmp_tdc_cnx_master_in_3_dat_4 "cmp_tdc.cnx_master_in_3.dat(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_4_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_data_31 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_data(31)") (joined - (portRef dout_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_31)) + (net (rename cmp_tdc_cnx_master_in_3_dat_5 "cmp_tdc.cnx_master_in_3.dat(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o5_5)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(0)") (joined - (portRef dout_32 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_0)) + (net (rename cmp_tdc_cnx_master_in_3_dat_6 "cmp_tdc.cnx_master_in_3.dat(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_4_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_1 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(1)") (joined - (portRef dout_33 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_1)) + (net (rename cmp_tdc_cnx_master_in_3_dat_7 "cmp_tdc.cnx_master_in_3.dat(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_4_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_2 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(2)") (joined - (portRef dout_34 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_2)) + (net (rename cmp_tdc_cnx_master_in_4_ack "cmp_tdc.cnx_master_in_4.ack") (joined + (portRef Q (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_3 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(3)") (joined - (portRef dout_35 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_3)) + (net (rename cmp_tdc_cnx_master_in_4_dat_0 "cmp_tdc.cnx_master_in_4.dat(0)") (joined + (portRef doutb_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o6)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_4 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(4)") (joined - (portRef dout_36 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_4)) + (net (rename cmp_tdc_cnx_master_in_4_dat_1 "cmp_tdc.cnx_master_in_4.dat(1)") (joined + (portRef doutb_1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_5 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(5)") (joined - (portRef dout_37 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_5)) + (net (rename cmp_tdc_cnx_master_in_4_dat_2 "cmp_tdc.cnx_master_in_4.dat(2)") (joined + (portRef doutb_2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_6 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(6)") (joined - (portRef dout_38 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_6)) + (net (rename cmp_tdc_cnx_master_in_4_dat_3 "cmp_tdc.cnx_master_in_4.dat(3)") (joined + (portRef doutb_3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_7 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(7)") (joined - (portRef dout_39 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_7)) + (net (rename cmp_tdc_cnx_master_in_4_dat_4 "cmp_tdc.cnx_master_in_4.dat(4)") (joined + (portRef doutb_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_8 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(8)") (joined - (portRef dout_40 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_8)) + (net (rename cmp_tdc_cnx_master_in_4_dat_5 "cmp_tdc.cnx_master_in_4.dat(5)") (joined + (portRef doutb_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_9 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(9)") (joined - (portRef dout_41 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_9)) + (net (rename cmp_tdc_cnx_master_in_4_dat_6 "cmp_tdc.cnx_master_in_4.dat(6)") (joined + (portRef doutb_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_10 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(10)") (joined - (portRef dout_42 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_10)) + (net (rename cmp_tdc_cnx_master_in_4_dat_7 "cmp_tdc.cnx_master_in_4.dat(7)") (joined + (portRef doutb_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_addr_11 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_addr(11)") (joined - (portRef dout_43 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_11)) + (net (rename cmp_tdc_cnx_master_in_4_dat_8 "cmp_tdc.cnx_master_in_4.dat(8)") (joined + (portRef doutb_8 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_rw "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_rw") (joined - (portRef dout_63 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wb_we_t)) + (net (rename cmp_tdc_cnx_master_in_4_dat_9 "cmp_tdc.cnx_master_in_4.dat(9)") (joined + (portRef doutb_9 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_rdZ0 "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_rd") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_rd)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o5)) - (portRef rd_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_10 "cmp_tdc.cnx_master_in_4.dat(10)") (joined + (portRef doutb_10 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_to_wb_fifo_empty "gnum_interface_block.cmp_wbmaster32.to_wb_fifo_empty") (joined - (portRef empty (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o6)) + (net (rename cmp_tdc_cnx_master_in_4_dat_11 "cmp_tdc.cnx_master_in_4.dat(11)") (joined + (portRef doutb_11 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o5)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) - (portRef din_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_12 "cmp_tdc.cnx_master_in_4.dat(12)") (joined + (portRef doutb_12 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_1 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) - (portRef din_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_13 "cmp_tdc.cnx_master_in_4.dat(13)") (joined + (portRef doutb_13 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_2 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) - (portRef din_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_14 "cmp_tdc.cnx_master_in_4.dat(14)") (joined + (portRef doutb_14 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_3 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) - (portRef din_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_15 "cmp_tdc.cnx_master_in_4.dat(15)") (joined + (portRef doutb_15 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_4 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(4)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) - (portRef din_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_16 "cmp_tdc.cnx_master_in_4.dat(16)") (joined + (portRef doutb_16 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_5 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(5)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) - (portRef din_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_17 "cmp_tdc.cnx_master_in_4.dat(17)") (joined + (portRef doutb_17 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_6 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) - (portRef din_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_18 "cmp_tdc.cnx_master_in_4.dat(18)") (joined + (portRef doutb_18 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_7 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(7)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) - (portRef din_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_19 "cmp_tdc.cnx_master_in_4.dat(19)") (joined + (portRef doutb_19 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_8 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(8)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) - (portRef din_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_20 "cmp_tdc.cnx_master_in_4.dat(20)") (joined + (portRef doutb_20 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_9 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(9)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) - (portRef din_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_21 "cmp_tdc.cnx_master_in_4.dat(21)") (joined + (portRef doutb_21 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_10 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(10)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) - (portRef din_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_22 "cmp_tdc.cnx_master_in_4.dat(22)") (joined + (portRef doutb_22 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_11 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(11)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) - (portRef din_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_23 "cmp_tdc.cnx_master_in_4.dat(23)") (joined + (portRef doutb_23 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_12 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(12)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) - (portRef din_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_24 "cmp_tdc.cnx_master_in_4.dat(24)") (joined + (portRef doutb_24 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_13 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(13)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) - (portRef din_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_25 "cmp_tdc.cnx_master_in_4.dat(25)") (joined + (portRef doutb_25 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_14 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(14)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) - (portRef din_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_26 "cmp_tdc.cnx_master_in_4.dat(26)") (joined + (portRef doutb_26 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_15 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(15)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) - (portRef din_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_27 "cmp_tdc.cnx_master_in_4.dat(27)") (joined + (portRef doutb_27 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_16 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(16)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) - (portRef din_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_28 "cmp_tdc.cnx_master_in_4.dat(28)") (joined + (portRef doutb_28 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o5_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_17 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(17)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) - (portRef din_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_29 "cmp_tdc.cnx_master_in_4.dat(29)") (joined + (portRef doutb_29 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_18 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(18)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) - (portRef din_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_30 "cmp_tdc.cnx_master_in_4.dat(30)") (joined + (portRef doutb_30 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_19 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(19)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) - (portRef din_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_in_4_dat_31 "cmp_tdc.cnx_master_in_4.dat(31)") (joined + (portRef doutb_31 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o6_4)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_20 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(20)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) - (portRef din_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_adr_2 "cmp_tdc.cnx_master_out_0.adr(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o5_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_m10_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_21 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(21)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) - (portRef din_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_adr_3 "cmp_tdc.cnx_master_out_0.adr(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_22 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(22)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) - (portRef din_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_adr_4 "cmp_tdc.cnx_master_out_0.adr(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_m10_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_23 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(23)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) - (portRef din_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_adr_5 "cmp_tdc.cnx_master_out_0.adr(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_m10_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_24 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(24)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) - (portRef din_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_adr_6 "cmp_tdc.cnx_master_out_0.adr(6)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o5_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_m10_2)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_25 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(25)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) - (portRef din_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_adr_7 "cmp_tdc.cnx_master_out_0.adr(7)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_m10_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_26 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(26)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) - (portRef din_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_adr_9 "cmp_tdc.cnx_master_out_0.adr(9)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_m10_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_27 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(27)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) - (portRef din_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_dat_0 "cmp_tdc.cnx_master_out_0.dat(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_28 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(28)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) - (portRef din_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_dat_1 "cmp_tdc.cnx_master_out_0.dat(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o5_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_1)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_29 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(29)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) - (portRef din_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_dat_2 "cmp_tdc.cnx_master_out_0.dat(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_2)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_30 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(30)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) - (portRef din_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_dat_3 "cmp_tdc.cnx_master_out_0.dat(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_3)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dinZ0Z_31 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din(31)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) - (portRef din_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_dat_5 "cmp_tdc.cnx_master_out_0.dat(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_5)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wrZ0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_wr") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) - (portRef wr_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_0_dat_6 "cmp_tdc.cnx_master_out_0.dat(6)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_6)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(0)") (joined - (portRef dout_0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_7 "cmp_tdc.cnx_master_out_0.dat(7)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o6_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_7)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_1 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(1)") (joined - (portRef dout_1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_RNO_1)) + (net (rename cmp_tdc_cnx_master_out_0_dat_8 "cmp_tdc.cnx_master_out_0.dat(8)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_8)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_2 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(2)") (joined - (portRef dout_2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_9 "cmp_tdc.cnx_master_out_0.dat(9)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNI2RKU9_o5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_9)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_3 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(3)") (joined - (portRef dout_3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_10 "cmp_tdc.cnx_master_out_0.dat(10)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_10)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_4 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(4)") (joined - (portRef dout_4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_11 "cmp_tdc.cnx_master_out_0.dat(11)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_11)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_5 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(5)") (joined - (portRef dout_5 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_12 "cmp_tdc.cnx_master_out_0.dat(12)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o6_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_12)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_6 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(6)") (joined - (portRef dout_6 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_13 "cmp_tdc.cnx_master_out_0.dat(13)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o6_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_13)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_7 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(7)") (joined - (portRef dout_7 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_14 "cmp_tdc.cnx_master_out_0.dat(14)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_14)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_8 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(8)") (joined - (portRef dout_8 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_15 "cmp_tdc.cnx_master_out_0.dat(15)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_15)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_9 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(9)") (joined - (portRef dout_9 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_16 "cmp_tdc.cnx_master_out_0.dat(16)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_16)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_10 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(10)") (joined - (portRef dout_10 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o5_0)) + (net (rename cmp_tdc_cnx_master_out_0_dat_17 "cmp_tdc.cnx_master_out_0.dat(17)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_17)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_11 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(11)") (joined - (portRef dout_11 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o5_1)) + (net (rename cmp_tdc_cnx_master_out_0_dat_18 "cmp_tdc.cnx_master_out_0.dat(18)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o5_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_18)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_12 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(12)") (joined - (portRef dout_12 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_19 "cmp_tdc.cnx_master_out_0.dat(19)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_19)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_13 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(13)") (joined - (portRef dout_13 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_20 "cmp_tdc.cnx_master_out_0.dat(20)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_20)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_14 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(14)") (joined - (portRef dout_14 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_21 "cmp_tdc.cnx_master_out_0.dat(21)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_21)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_21)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_15 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(15)") (joined - (portRef dout_15 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_22 "cmp_tdc.cnx_master_out_0.dat(22)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o6_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_22)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_16 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(16)") (joined - (portRef dout_16 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_23 "cmp_tdc.cnx_master_out_0.dat(23)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_23)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_17 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(17)") (joined - (portRef dout_17 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_24 "cmp_tdc.cnx_master_out_0.dat(24)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_24)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_18 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(18)") (joined - (portRef dout_18 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_25 "cmp_tdc.cnx_master_out_0.dat(25)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_25)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_19 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(19)") (joined - (portRef dout_19 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_26 "cmp_tdc.cnx_master_out_0.dat(26)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o5_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_26)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_20 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(20)") (joined - (portRef dout_20 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_27 "cmp_tdc.cnx_master_out_0.dat(27)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_27)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_21 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(21)") (joined - (portRef dout_21 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o6)) + (net (rename cmp_tdc_cnx_master_out_0_dat_28 "cmp_tdc.cnx_master_out_0.dat(28)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o5_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_28)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_22 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(22)") (joined - (portRef dout_22 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_29 "cmp_tdc.cnx_master_out_0.dat(29)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_29)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_23 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(23)") (joined - (portRef dout_23 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_30 "cmp_tdc.cnx_master_out_0.dat(30)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_30)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_24 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(24)") (joined - (portRef dout_24 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o5)) + (net (rename cmp_tdc_cnx_master_out_0_dat_31 "cmp_tdc.cnx_master_out_0.dat(31)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_31)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_25 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(25)") (joined - (portRef dout_25 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o6)) + (net (rename cmp_tdc_cnx_master_out_4_cyc "cmp_tdc.cnx_master_out_4.cyc") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o5_2)) + (portRef enb (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_26 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(26)") (joined - (portRef dout_26 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o5)) + (net (rename cmp_tdc_cnx_master_out_4_adr_2 "cmp_tdc.cnx_master_out_4.adr(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) + (portRef addrb_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_27 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(27)") (joined - (portRef dout_27 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o6)) + (net (rename cmp_tdc_cnx_master_out_4_adr_3 "cmp_tdc.cnx_master_out_4.adr(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) + (portRef addrb_1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_28 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(28)") (joined - (portRef dout_28 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o6)) + (net (rename cmp_tdc_cnx_master_out_4_adr_4 "cmp_tdc.cnx_master_out_4.adr(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) + (portRef addrb_2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_29 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(29)") (joined - (portRef dout_29 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o6_0)) + (net (rename cmp_tdc_cnx_master_out_4_adr_5 "cmp_tdc.cnx_master_out_4.adr(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef addrb_3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_30 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(30)") (joined - (portRef dout_30 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o6_1)) + (net (rename cmp_tdc_cnx_master_out_4_adr_6 "cmp_tdc.cnx_master_out_4.adr(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o5)) + (portRef addrb_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_dout_31 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_dout(31)") (joined - (portRef dout_31 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o6)) + (net (rename cmp_tdc_cnx_master_out_4_adr_7 "cmp_tdc.cnx_master_out_4.adr(7)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef addrb_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rdZ0 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_rd") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) - (portRef rd_en (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (net (rename cmp_tdc_cnx_master_out_4_adr_8 "cmp_tdc.cnx_master_out_4.adr(8)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8)) + (portRef addrb_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_empty "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_empty") (joined - (portRef empty (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) + (net (rename cmp_tdc_cnx_master_out_4_adr_9 "cmp_tdc.cnx_master_out_4.adr(9)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef addrb_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_p2l_cidZ0Z_0 "gnum_interface_block.cmp_wbmaster32.p2l_cid(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o5_0)) + (net (rename cmp_tdc_cnx_master_out_4_adr_10 "cmp_tdc.cnx_master_out_4.adr(10)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o6_10)) + (portRef addrb_8 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_p2l_cidZ0Z_1 "gnum_interface_block.cmp_wbmaster32.p2l_cid(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o5_1)) + (net (rename cmp_tdc_cnx_master_out_4_adr_11 "cmp_tdc.cnx_master_out_4.adr(11)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o6_11)) + (portRef addrb_9 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un1_pd_wbm_target_mwr_i "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un1_pd_wbm_target_mwr_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_63)) + (net (rename cmp_tdc_cnx_master_out_4_we "cmp_tdc.cnx_master_out_4.we") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef web_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i "gnum_interface_block.cmp_wbmaster32.p_from_decoder.un3_pd_wbm_target_mrd_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p_from_decoder_un3_pd_wbm_target_mrd_i_lut6_2_o6)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_32)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_33)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_34)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_35)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_36)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_37)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_38)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_39)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_40)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_41)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_42)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_43)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_44)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_45)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_46)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_47)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_48)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_49)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_50)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_51)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_52)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_53)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_54)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_55)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_56)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_57)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_58)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_59)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_60)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_61)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_62)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_63)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wr)) + (net (rename cmp_tdc_cnx_master_out_4_dat_0 "cmp_tdc.cnx_master_out_4.dat(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef dinb_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_0_sqmuxa "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_0_sqmuxa") (joined - (portRef O5 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_1)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_4)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_5)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_6)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_7)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) - (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_1)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_4)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_5)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_6)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_7)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) - (portRef CE (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_235)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_234)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_230)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_225)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_221)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_212)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_203)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_198)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_197)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_196)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_195)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_193)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_192)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_191)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_190)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_189)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_188)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_187)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_185)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_184)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_183)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_182)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_181)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_180)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_179)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_177)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_176)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_175)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_174)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_173)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_172)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_171)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_169)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_168)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_167)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_162)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_154)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_146)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_138)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_130)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_122)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_117)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_116)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_115)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_113)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_112)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_111)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_106)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_98)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_90)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_82)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_74)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_66)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_63)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_61)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_60)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_59)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_57)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_56)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_55)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_50)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_42)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_34)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_1)) + (net (rename cmp_tdc_cnx_master_out_4_dat_1 "cmp_tdc.cnx_master_out_4.dat(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o6_2)) + (portRef dinb_1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_11 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_11)) + (net (rename cmp_tdc_cnx_master_out_4_dat_2 "cmp_tdc.cnx_master_out_4.dat(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) + (portRef dinb_2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_15 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_15)) + (net (rename cmp_tdc_cnx_master_out_4_dat_3 "cmp_tdc.cnx_master_out_4.dat(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_2)) + (portRef dinb_3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_24 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_24)) + (net (rename cmp_tdc_cnx_master_out_4_dat_5 "cmp_tdc.cnx_master_out_4.dat(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) + (portRef dinb_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_26 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_26)) + (net (rename cmp_tdc_cnx_master_out_4_dat_6 "cmp_tdc.cnx_master_out_4.dat(6)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) + (portRef dinb_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_stateZ0Z_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o5_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_valid_o)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_dframe_o)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) + (net (rename cmp_tdc_cnx_master_out_4_dat_7 "cmp_tdc.cnx_master_out_4.dat(7)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o6_2)) + (portRef dinb_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_stateZ0Z_1 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o6_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o6_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_RNO_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_rd_e)) + (net (rename cmp_tdc_cnx_master_out_4_dat_8 "cmp_tdc.cnx_master_out_4.dat(8)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) + (portRef dinb_8 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_stateZ0Z_0 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) + (net (rename cmp_tdc_cnx_master_out_4_dat_9 "cmp_tdc.cnx_master_out_4.dat(9)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o6_3)) + (portRef dinb_9 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_stateZ0Z_1 "gnum_interface_block.cmp_wbmaster32.wishbone_current_state(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) + (net (rename cmp_tdc_cnx_master_out_4_dat_10 "cmp_tdc.cnx_master_out_4.dat(10)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) + (portRef dinb_10 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_wishbone_current_state_144_d "gnum_interface_block.cmp_wbmaster32.wishbone_current_state_144_d") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_s2_0_a3_0_a2_lut6_2_o6)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_30)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_31)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wb_we_t)) + (net (rename cmp_tdc_cnx_master_out_4_dat_11 "cmp_tdc.cnx_master_out_4.dat(11)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) + (portRef dinb_11 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0 "gnum_interface_block.cmp_wbmaster32.l2p_read_cpl_current_state_ns(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_ns_0_0_0_0_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_0)) + (net (rename cmp_tdc_cnx_master_out_4_dat_12 "cmp_tdc.cnx_master_out_4.dat(12)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o6_1)) + (portRef dinb_12 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_0 "gnum_interface_block.cmp_p2l_des.p2l_data_t(0)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_0)) + (net (rename cmp_tdc_cnx_master_out_4_dat_13 "cmp_tdc.cnx_master_out_4.dat(13)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o6_2)) + (portRef dinb_13 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_1 "gnum_interface_block.cmp_p2l_des.p2l_data_t(1)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_1)) + (net (rename cmp_tdc_cnx_master_out_4_dat_14 "cmp_tdc.cnx_master_out_4.dat(14)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o6_14)) + (portRef dinb_14 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_2 "gnum_interface_block.cmp_p2l_des.p2l_data_t(2)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_2)) + (net (rename cmp_tdc_cnx_master_out_4_dat_15 "cmp_tdc.cnx_master_out_4.dat(15)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef dinb_15 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_3 "gnum_interface_block.cmp_p2l_des.p2l_data_t(3)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_3)) + (net (rename cmp_tdc_cnx_master_out_4_dat_16 "cmp_tdc.cnx_master_out_4.dat(16)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) + (portRef dinb_16 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_4 "gnum_interface_block.cmp_p2l_des.p2l_data_t(4)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_4)) + (net (rename cmp_tdc_cnx_master_out_4_dat_17 "cmp_tdc.cnx_master_out_4.dat(17)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) + (portRef dinb_17 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_5 "gnum_interface_block.cmp_p2l_des.p2l_data_t(5)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_5)) + (net (rename cmp_tdc_cnx_master_out_4_dat_18 "cmp_tdc.cnx_master_out_4.dat(18)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) + (portRef dinb_18 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_6 "gnum_interface_block.cmp_p2l_des.p2l_data_t(6)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_6)) + (net (rename cmp_tdc_cnx_master_out_4_dat_19 "cmp_tdc.cnx_master_out_4.dat(19)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef dinb_19 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_7 "gnum_interface_block.cmp_p2l_des.p2l_data_t(7)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_7)) + (net (rename cmp_tdc_cnx_master_out_4_dat_20 "cmp_tdc.cnx_master_out_4.dat(20)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef dinb_20 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_8 "gnum_interface_block.cmp_p2l_des.p2l_data_t(8)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_8)) + (net (rename cmp_tdc_cnx_master_out_4_dat_21 "cmp_tdc.cnx_master_out_4.dat(21)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef dinb_21 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_9 "gnum_interface_block.cmp_p2l_des.p2l_data_t(9)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_9)) + (net (rename cmp_tdc_cnx_master_out_4_dat_22 "cmp_tdc.cnx_master_out_4.dat(22)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o6_2)) + (portRef dinb_22 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_10 "gnum_interface_block.cmp_p2l_des.p2l_data_t(10)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_10)) + (net (rename cmp_tdc_cnx_master_out_4_dat_23 "cmp_tdc.cnx_master_out_4.dat(23)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef dinb_23 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_11 "gnum_interface_block.cmp_p2l_des.p2l_data_t(11)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_11)) + (net (rename cmp_tdc_cnx_master_out_4_dat_24 "cmp_tdc.cnx_master_out_4.dat(24)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef dinb_24 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_12 "gnum_interface_block.cmp_p2l_des.p2l_data_t(12)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_12)) + (net (rename cmp_tdc_cnx_master_out_4_dat_25 "cmp_tdc.cnx_master_out_4.dat(25)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef dinb_25 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_13 "gnum_interface_block.cmp_p2l_des.p2l_data_t(13)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_13)) + (net (rename cmp_tdc_cnx_master_out_4_dat_26 "cmp_tdc.cnx_master_out_4.dat(26)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) + (portRef dinb_26 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_14 "gnum_interface_block.cmp_p2l_des.p2l_data_t(14)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_14)) + (net (rename cmp_tdc_cnx_master_out_4_dat_27 "cmp_tdc.cnx_master_out_4.dat(27)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef dinb_27 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_15 "gnum_interface_block.cmp_p2l_des.p2l_data_t(15)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_15)) + (net (rename cmp_tdc_cnx_master_out_4_dat_28 "cmp_tdc.cnx_master_out_4.dat(28)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) + (portRef dinb_28 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_16 "gnum_interface_block.cmp_p2l_des.p2l_data_t(16)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_16)) + (net (rename cmp_tdc_cnx_master_out_4_dat_29 "cmp_tdc.cnx_master_out_4.dat(29)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) + (portRef dinb_29 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_17 "gnum_interface_block.cmp_p2l_des.p2l_data_t(17)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_17)) + (net (rename cmp_tdc_cnx_master_out_4_dat_30 "cmp_tdc.cnx_master_out_4.dat(30)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) + (portRef dinb_30 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_18 "gnum_interface_block.cmp_p2l_des.p2l_data_t(18)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_18)) + (net (rename cmp_tdc_cnx_master_out_4_dat_31 "cmp_tdc.cnx_master_out_4.dat(31)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef dinb_31 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_19 "gnum_interface_block.cmp_p2l_des.p2l_data_t(19)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_19)) + (net (rename cmp_tdc_sys_scl_oe_n "cmp_tdc.sys_scl_oe_n") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dscl_oen)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_20 "gnum_interface_block.cmp_p2l_des.p2l_data_t(20)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_20)) + (net (rename cmp_tdc_sys_sda_oe_n "cmp_tdc.sys_sda_oe_n") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_2_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_21 "gnum_interface_block.cmp_p2l_des.p2l_data_t(21)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_21)) + (net (rename cmp_tdc_cmp_fmc_onewire_owr_pwren_o_0 "cmp_tdc.cmp_fmc_onewire_owr_pwren_o(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_22 "gnum_interface_block.cmp_p2l_des.p2l_data_t(22)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_22)) + (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_359 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(359)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) + (portRef ADDRA_5 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_23 "gnum_interface_block.cmp_p2l_des.p2l_data_t(23)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_23)) + (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_360 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(360)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) + (portRef ADDRA_6 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_361 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(361)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o5_1)) + (portRef ADDRA_7 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_24 "gnum_interface_block.cmp_p2l_des.p2l_data_t(24)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_24)) + (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_362 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(362)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef ADDRA_8 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_25 "gnum_interface_block.cmp_p2l_des.p2l_data_t(25)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_25)) + (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_363 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(363)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o5_1)) + (portRef ADDRA_9 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_26 "gnum_interface_block.cmp_p2l_des.p2l_data_t(26)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_26)) + (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_364 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(364)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef ADDRA_10 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_27 "gnum_interface_block.cmp_p2l_des.p2l_data_t(27)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_27)) + (net (rename cmp_tdc_cmp_sdb_crossbar_un1_crossbar_1_365 "cmp_tdc.cmp_sdb_crossbar.un1_crossbar_1(365)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef ADDRA_11 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_28 "gnum_interface_block.cmp_p2l_des.p2l_data_t(28)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_28)) + (net (rename cmp_tdc_cmp_sdb_crossbar_master_i_1_5_ack "cmp_tdc.cmp_sdb_crossbar.master_i_1_5.ack") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_2_5)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_29 "gnum_interface_block.cmp_p2l_des.p2l_data_t(29)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_29)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(6)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o5_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o5_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o5_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o5_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o5_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o6_11)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o6_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o5_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o5_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1OD24_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_m10_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_17)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_30 "gnum_interface_block.cmp_p2l_des.p2l_data_t(30)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_30)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(5)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o5_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o5_14)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o5_10)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o5_11)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIHFGM1_o5_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o5_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o5_3)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIQVTB1_1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1OD24_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNI0P5L3)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS4Q83_3)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t_31 "gnum_interface_block.cmp_p2l_des.p2l_data_t(31)") (joined - (portRef Q4 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_31)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(4)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNIBA9L_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_RNIPHMF6_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_dframe_t_0 "gnum_interface_block.cmp_p2l_des.p2l_dframe_t(0)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_dframe_t2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(3)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o6_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o5_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o6_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o5_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o6_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o5_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o5_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o6_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_4_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_4_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_4_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_valid_t_0 "gnum_interface_block.cmp_p2l_des.p2l_valid_t(0)") (joined - (portRef Q3 (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_valid_t2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o5_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_18_1_lut6_2_o6_14)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_lut6_2_o6_10)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_21_lut6_2_o6_11)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o6_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1MEJ1_o6_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o6_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1OD24_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_dframe_tZ0Z2 "gnum_interface_block.cmp_p2l_des.p2l_dframe_t2") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_dframe_t2)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_dframe_o)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_25_lut6_2_o6_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIN5P1_o5_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPR5P1_o5_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_9)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_2_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_RNIPHMF6_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_valid_tZ0Z2 "gnum_interface_block.cmp_p2l_des.p2l_valid_t2") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_valid_t2)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_valid_o)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1OD24_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_0 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_0)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_0)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_imr_int_0 "cmp_tdc.cmp_tdc_eic.eic_imr_int(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_1 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_1)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_1)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_imr_int_1 "cmp_tdc.cmp_tdc_eic.eic_imr_int(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_2 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_2)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_2)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_imr_int_2 "cmp_tdc.cmp_tdc_eic.eic_imr_int(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_3 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_3)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_3)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_ier_write_intZ0 "cmp_tdc.cmp_tdc_eic.eic_ier_write_int") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_4 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_4)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_4)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_idr_write_intZ0 "cmp_tdc.cmp_tdc_eic.eic_idr_write_int") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_5 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_5)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_status_int_0 "cmp_tdc.cmp_tdc_eic.eic_isr_status_int(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_wb_irq_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_6 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_6)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_6)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_status_int_1 "cmp_tdc.cmp_tdc_eic.eic_isr_status_int(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_wb_irq_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_7 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_7)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_7)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_status_int_2 "cmp_tdc.cmp_tdc_eic.eic_isr_status_int(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_wb_irq_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_8 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_8)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_8)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_write_intZ0 "cmp_tdc.cmp_tdc_eic.eic_isr_write_int") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o6)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_9 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_9)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_9)) + (net (rename cmp_tdc_cmp_tdc_eic_ack_in_progressZ0 "cmp_tdc.cmp_tdc_eic.ack_in_progress") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_10 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_10)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_10)) + (net (rename cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa "cmp_tdc.cmp_tdc_eic.ack_in_progress_0_sqmuxa") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o5)) + (portRef CE (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_0)) + (portRef CE (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_1)) + (portRef CE (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_2)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_11 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_11)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_11)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_12 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_12)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_12)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_13 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_13)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_13)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2Z0Z_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_14 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_14)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_14)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_15 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_15)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_15)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_16 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_16)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_16)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1Z0Z_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_17 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_17)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_17)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_18 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_18)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_18)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_19 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_19)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_19)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0Z0Z_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_20 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_20)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_20)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d0_5(0)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_21 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_21)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_21)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d0_9(1)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_22 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_22)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_22)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_13_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d0_13(2)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_23 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_23)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_23)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d1_5(0)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_24 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_24)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_24)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d1_9(1)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_25 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_25)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_25)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_13_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d1_13(2)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_26 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_26)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_26)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_12_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2_12(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNID6V61_2)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_27 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_27)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_27)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_10(0)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_28 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_28)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_28)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_19(1)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_29 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_29)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_29)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_28_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_pending_28(2)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_30 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_30)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_30)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_21(0)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_p2l_data_t2Z0Z_31 "gnum_interface_block.cmp_p2l_des.p2l_data_t2(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_t2_31)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_p2l_data_o_31)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_21(1)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_1)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_valid_in_rx_data_in_0 "gnum_interface_block.cmp_p2l_des.cmp_valid_in.rx_data_in(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_valid_in_loop0_0_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_mask_21(2)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_2)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_dframe_in_rx_data_in_0 "gnum_interface_block.cmp_p2l_des.cmp_dframe_in.rx_data_in(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_dframe_in_loop0_0_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_rdat_int_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.rdat_int(6)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_6)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_9 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_9_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_rdat_int_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.rdat_int(7)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_7)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_11 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_11_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_wen_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.bus_wen_1") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o5)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNI0P5L3)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_ack)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_7 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_7_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_4 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_4_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovdZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_ovd") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_11)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_11)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_7)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_7)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o5_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o6_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_3_i)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_2 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_2_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o5_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_0 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o5_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIQVTB1_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_6 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_6_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o6_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_14 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_14_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(3)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_5)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o6_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o5_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o6_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS4Q83_3)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_15 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_15_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(4)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI479G2_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI5PTU_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_13 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_13_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(5)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_5)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI579G2_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI5PTU_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_8 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_8_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(6)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI679G2_6)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI5PTU_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_10 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_10_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cntZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt(7)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19_RNO)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI5PTU_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_12 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_12_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_wen_cdr_o") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o5)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_1 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_1_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_3 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename gnum_interface_block_cmp_p2l_des_cmp_data_in_rx_data_in_5 "gnum_interface_block.cmp_p2l_des.cmp_data_in.rx_data_in(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_iob_clk_in)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_5_loop3_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) )) - (net (rename gnum_interface_block_cmp_clk_in_rx_clk_in "gnum_interface_block.cmp_clk_in.rx_clk_in") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_iob_clk_in)) - (portRef IDATAIN (instanceRef gnum_interface_block_cmp_clk_in_iodelay_s)) - (portRef IDATAIN (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(3)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) )) - (net (rename gnum_interface_block_cmp_clk_in_busym "gnum_interface_block.cmp_clk_in.busym") (joined - (portRef BUSY (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o5)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(4)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) )) - (net (rename gnum_interface_block_cmp_clk_in_ddly_m "gnum_interface_block.cmp_clk_in.ddly_m") (joined - (portRef DATAOUT (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(5)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_5)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5)) )) - (net (rename gnum_interface_block_cmp_clk_in_cal_clkZ0 "gnum_interface_block.cmp_clk_in.cal_clk") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_cal_clk)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) - (portRef CAL (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(6)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) )) - (net (rename gnum_interface_block_cmp_clk_in_rst_clkZ0 "gnum_interface_block.cmp_clk_in.rst_clk") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_rst_clk)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) - (portRef RST (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(7)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) )) - (net (rename gnum_interface_block_cmp_clk_in_ddly_s "gnum_interface_block.cmp_clk_in.ddly_s") (joined - (portRef DATAOUT (instanceRef gnum_interface_block_cmp_clk_in_iodelay_s)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(8)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_8)) )) - (net (rename gnum_interface_block_cmp_clk_in_buf_P_clk "gnum_interface_block.cmp_clk_in.buf_P_clk") (joined - (portRef DIVCLK (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2_inst)) - (portRef CLKIN1 (instanceRef gnum_interface_block_cmp_clk_in_rx_pll_adv_inst)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(9)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_9)) )) - (net (rename gnum_interface_block_cmp_clk_in_P_clk "gnum_interface_block.cmp_clk_in.P_clk") (joined - (portRef DFB (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) - (portRef I (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2_inst)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(10)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_11)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_10)) )) - (net (rename gnum_interface_block_cmp_clk_in_buf_pll_fb_clk "gnum_interface_block.cmp_clk_in.buf_pll_fb_clk") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2fb_inst)) - (portRef CLKFBIN (instanceRef gnum_interface_block_cmp_clk_in_rx_pll_adv_inst)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(11)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_11)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_11)) )) - (net (rename gnum_interface_block_cmp_clk_in_feedback "gnum_interface_block.cmp_clk_in.feedback") (joined - (portRef CFB0 (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) - (portRef I (instanceRef gnum_interface_block_cmp_clk_in_P_clk_bufio2fb_inst)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(12)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_12)) )) - (net (rename gnum_interface_block_cmp_clk_in_cascade "gnum_interface_block.cmp_clk_in.cascade") (joined - (portRef SHIFTOUT (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) - (portRef SHIFTIN (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(13)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_13)) )) - (net (rename gnum_interface_block_cmp_clk_in_pd_edge "gnum_interface_block.cmp_clk_in.pd_edge") (joined - (portRef SHIFTOUT (instanceRef gnum_interface_block_cmp_clk_in_iserdes_s)) - (portRef SHIFTIN (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(14)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_14)) )) - (net (rename gnum_interface_block_cmp_clk_in_rx_pllout_x1 "gnum_interface_block.cmp_clk_in.rx_pllout_x1") (joined - (portRef CLKOUT2 (instanceRef gnum_interface_block_cmp_clk_in_rx_pll_adv_inst)) - (portRef I (instanceRef gnum_interface_block_cmp_clk_in_bufg_135)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_nZ0Z_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_n(15)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_3_i)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_15)) )) - (net (rename gnum_interface_block_cmp_clk_in_rx_bufplllckd "gnum_interface_block.cmp_clk_in.rx_bufplllckd") (joined - (portRef LOCK (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_inst)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_rx_bufpll_lckd_lut6_2_o6)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_16)) )) - (net (rename gnum_interface_block_cmp_clk_in_busydZ0 "gnum_interface_block.cmp_clk_in.busyd") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_busyd)) - (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_17)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_0 "gnum_interface_block.cmp_clk_in.counter(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0)) - (portRef CI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_cry_1)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_18)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_11 "gnum_interface_block.cmp_clk_in.counter(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_11)) - (portRef I4 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(3)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_3)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_19)) )) - (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_6 "gnum_interface_block.cmp_clk_in.state(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(4)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_20)) )) - (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_7 "gnum_interface_block.cmp_clk_in.state(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o5_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_RNIR6HH1_o6_7)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(5)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_5)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_5)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_21)) )) - (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_5 "gnum_interface_block.cmp_clk_in.state(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(6)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_6)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_7)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_22)) )) - (net (rename gnum_interface_block_cmp_clk_in_busyd_3 "gnum_interface_block.cmp_clk_in.busyd_3") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_busyd)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(7)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_7)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_7)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_23)) )) - (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_4 "gnum_interface_block.cmp_clk_in.state(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_rst_clk_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(8)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_24)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_1 "gnum_interface_block.cmp_clk_in.counter(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_1)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(9)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_25)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_2 "gnum_interface_block.cmp_clk_in.counter(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_2)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(10)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_11)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_26)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_3 "gnum_interface_block.cmp_clk_in.counter(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_3)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(11)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_11)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_11)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_27)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_4 "gnum_interface_block.cmp_clk_in.counter(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_4)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(12)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_12)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_28)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_5 "gnum_interface_block.cmp_clk_in.counter(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o5_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_5)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(13)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_13)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_29)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_6 "gnum_interface_block.cmp_clk_in.counter(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_6)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(14)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_30)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_7 "gnum_interface_block.cmp_clk_in.counter(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_7)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_oZ0Z_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.genblk7.genblk1.cdr_o(15)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_3_i)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_31)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_8 "gnum_interface_block.cmp_clk_in.counter(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_8)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_enaZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.irq_ena") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_9 "gnum_interface_block.cmp_clk_in.counter(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_9)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_stsZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.irq_sts") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) )) - (net (rename gnum_interface_block_cmp_clk_in_counterZ0Z_10 "gnum_interface_block.cmp_clk_in.counter(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_counter_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_10)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_0)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIQVTB1_1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI479G2_4)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI679G2_6)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI579G2_5)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS4Q83_3)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename gnum_interface_block_cmp_clk_in_counter_3_0 "gnum_interface_block.cmp_clk_in.counter_3(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rstZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_rst") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename gnum_interface_block_cmp_clk_in_counter_3_11 "gnum_interface_block.cmp_clk_in.counter_3(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_11)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_datZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) )) - (net (rename gnum_interface_block_cmp_clk_in_stateZ0Z_8 "gnum_interface_block.cmp_clk_in.state(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_state_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cycZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) )) - (net (rename gnum_interface_block_cmp_clk_in_enableZ0 "gnum_interface_block.cmp_clk_in.enable") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_clk_in_enable)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smpZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_smp") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) )) - (net (rename gnum_interface_block_cmp_clk_in_state_ns_0 "gnum_interface_block.cmp_clk_in.state_ns(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_ns_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_state_8)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI5PTU_4)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) )) - (net (rename gnum_interface_block_cmp_clk_in_state_ns_4 "gnum_interface_block.cmp_clk_in.state_ns(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_state_4)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(0)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) )) - (net (rename gnum_interface_block_cmp_clk_in_state_ns_1 "gnum_interface_block.cmp_clk_in.state_ns(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_clk_in_state_ns_a4_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_clk_in_state_7)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(1)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename cmp_sdb_crossbar_un1_crossbar_1_431 "cmp_sdb_crossbar.un1_crossbar_1(431)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef ADDRA_6 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(2)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) )) - (net (rename cmp_sdb_crossbar_un1_crossbar_1_432 "cmp_sdb_crossbar.un1_crossbar_1(432)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o5_1)) - (portRef ADDRA_7 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(3)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) )) - (net (rename cmp_sdb_crossbar_un1_crossbar_1_433 "cmp_sdb_crossbar.un1_crossbar_1(433)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) - (portRef ADDRA_8 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(4)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) )) - (net (rename cmp_sdb_crossbar_un1_crossbar_1_434 "cmp_sdb_crossbar.un1_crossbar_1(434)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o5)) - (portRef ADDRA_9 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(5)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) )) - (net (rename cmp_sdb_crossbar_un1_crossbar_1_435 "cmp_sdb_crossbar.un1_crossbar_1(435)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o5)) - (portRef ADDRA_10 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(6)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) )) - (net (rename cmp_sdb_crossbar_un1_crossbar_1_436 "cmp_sdb_crossbar.un1_crossbar_1(436)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef ADDRA_11 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(7)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) )) - (net (rename cmp_sdb_crossbar_un1_crossbar_1_437 "cmp_sdb_crossbar.un1_crossbar_1(437)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o6_11)) - (portRef ADDRA_12 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(8)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) )) - (net (rename cmp_sdb_crossbar_master_i_1_6_ack "cmp_sdb_crossbar.master_i_1_6.ack") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_ack)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_2)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(9)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_7 "cmp_sdb_crossbar.crossbar.matrix_old(7)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_7)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o5_7)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o6_7)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o5_7)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o6_7)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o5_7)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o6_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_9)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(10)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_6 "cmp_sdb_crossbar.crossbar.matrix_old(6)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o6)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o6_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o5_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o6_11)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o5_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o6_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o5_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o6_6)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_2)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNID24H1_3)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE24H1_4)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIG24H1_6)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIF24H1_5)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_bus_wen)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIKEGC1_1)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIJEGC1_0)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_973_m2)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_2)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(11)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_5 "cmp_sdb_crossbar.crossbar.matrix_old(5)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_5)) - (portRef I4 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_carrier_csr_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I2 (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o5)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o5_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o6_25)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o6)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o5_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o5_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o6_5)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy)) - (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_2)) - (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_16)) - (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_1)) - (portRef I3 (instanceRef cmp_carrier_csr_ack_in_progress_RNIUVU81)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(12)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_4 "cmp_sdb_crossbar.crossbar.matrix_old(4)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_4)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o5)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o6)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIRO0B1_o5_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o6_19)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o5_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_lut6_2_o6_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_RNI5LBH_o6)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o5)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_RNIAIRT_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o5_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI71TN_o6_2)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o5_18)) - (portRef I1 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI9CQ71_o6_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o5_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o6_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o5_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o6_4)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o6_18)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNO)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ivt_ram_wr_o)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_11)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_RNO_1)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_17)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_16)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_15)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_14)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_13)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_12)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_10)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_9)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_8)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_6)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_3)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_imr_e_0)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_5)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_0_2)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_7)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) - (portRef I2 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(13)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_3 "cmp_sdb_crossbar.crossbar.matrix_old(3)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_3)) - (portRef I2 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_dma_eic_un2_wb_cyc_i_0_a2_0_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o6)) - (portRef I2 (instanceRef cmp_dma_eic_rddata_reg_96_0)) - (portRef I2 (instanceRef cmp_dma_eic_rddata_reg_96_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy)) - (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I4 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d0_9_1)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_1)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_mask_15_0)) - (portRef I3 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4_4)) - (portRef I3 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) - (portRef I3 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) - (portRef I3 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(14)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_2 "cmp_sdb_crossbar.crossbar.matrix_old(2)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o5_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o6_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o5_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o6_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o5_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o6_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o5_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o6_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o6_6)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o6_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o6_7)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o5_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o5_8)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o5_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o6_10)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o5_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o6_7)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o6_23)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o5_22)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_10_1_lut6_2_o6_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o5_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o6_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o6_10)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o6_20)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o5_24)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_8_1_lut6_2_o6_24)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o5_7)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_25_1_lut6_2_o6_7)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o5_26)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_6_1_lut6_2_o6_26)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o6_31)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o6_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o5_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o6_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o5_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRF5P1_o6_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o5_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN5P1_o6_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o5_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI8U3M1_o6_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o5_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI1U3M1_o6_4)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o5_1)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o6_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o5_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o6_6)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o6_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o5_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIOLEJ1_o6_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o6_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o5_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIGBHM1_o6_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o5_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o6_8)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o5_13)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o6_16)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o6_25)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o6_17)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o6_15)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o5_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o6_12)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o5_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o5_30)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_2_1_lut6_2_o6_30)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o6_29)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o5_5)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o6_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_94_2)) - (portRef I (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIG6R5_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_5)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_m4)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_0_sqmuxa)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_un2_wb_cyc_i_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_5)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIPVTB1_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIOVTB1_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNO_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIP6BG1_8)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_r)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_m29)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_15_1_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_5_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d1_9_1)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_21_1)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_r_2)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_mask_RNO_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_divZ0Z_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div(15)") (joined + (portRef Q (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_3_i)) + (portRef I0 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_1 "cmp_sdb_crossbar.crossbar.matrix_old(1)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_1)) - (portRef I0 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o6_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o5_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o5_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_2)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_dive "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.dive") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI50DJ1)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_oldZ0Z_0 "cmp_sdb_crossbar.crossbar.matrix_old(0)") (joined - (portRef Q (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(0)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) )) - (net (rename cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1 "cmp_sdb_crossbar.rom.slave_clk.slave_o.ack_1") (joined - (portRef O (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o5)) - (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_ack)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(1)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) )) - (net N_83_0_i (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(2)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) )) - (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_4 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(4)") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(3)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) )) - (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_3 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(3)") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_data_tmp_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_data_tmp(4)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) )) - (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_2 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(2)") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_11") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) )) - (net (rename cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_1 "cmp_tdc_clks_rsts_mgment.un1_pll_byte_index(1)") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_18 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_18") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) )) - (net N_84_0_i (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_6)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_25 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_25") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) )) - (net (rename cmp_tdc_clks_rsts_mgment_tdc_clk_buf "cmp_tdc_clks_rsts_mgment.tdc_clk_buf") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_tdc_clk125_ibuf)) - (portRef I (instanceRef cmp_tdc_clks_rsts_mgment_tdc_clk125_gbuf)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(0)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_1)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) )) - (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk "cmp_tdc_clks_rsts_mgment.acam_refclk") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk31M25_ibuf)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_data_tmp_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_data_tmp(1)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_in_synchZ0Z_1 "cmp_tdc_clks_rsts_mgment.rst_in_synch(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_1)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o6)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_2)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_0)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_config_st_5)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_3)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_4)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_config_st_1)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_6)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_rst)) - (portRef I (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_RNIS1FA_1)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_2)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_3)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_4)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_6)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_7)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_8)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_17)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_16)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_15)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_14)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_13)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_12)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_11)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_10)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_9)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_8)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_7)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_6)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_5)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_4)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_3)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_2)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_1)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_0)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_1)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_0)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_2)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_1)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_0)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_23)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_22)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_21)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_20)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_19)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_18)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_17)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_16)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_15)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_14)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_13)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_12)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_11)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_10)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_9)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_8)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_7)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_6)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_5)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_4)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_3)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_2)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_1)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_0)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_dac_sync_n_o)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_cs_n_o)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_4)) - (portRef R (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_3)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_2)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_1)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_0)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_3)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_2)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_1)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_N_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_0_N_7") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11_RNO)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_11)) )) - (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk_synchZ0Z_2 "cmp_tdc_clks_rsts_mgment.acam_refclk_synch(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_e)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_waitingfor_refclk_i_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_0_d)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_7)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0)) )) - (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_p_synchZ0Z_2 "cmp_tdc_clks_rsts_mgment.send_dac_word_p_synch(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_p)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI2C933_0)) )) - (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_4 "cmp_tdc_clks_rsts_mgment.config_st(4)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_4)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o6_0)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) - (portRef I (instanceRef cmp_tdc_clks_rsts_mgment_pll_dac_sync_n_o_RNO)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI4C933_1)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_0 "cmp_tdc_clks_rsts_mgment.pll_byte_index(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_0)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_0)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIVSAJ_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIRFCP2_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6C933_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_1 "cmp_tdc_clks_rsts_mgment.pll_byte_index(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_1)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIVSAJ_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJCP2_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8C933_3)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_2 "cmp_tdc_clks_rsts_mgment.pll_byte_index(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIVSAJ_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNCP2_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIAC933_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_3 "cmp_tdc_clks_rsts_mgment.pll_byte_index(3)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_3)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI2VQP_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SCP2_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNICC933_5)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_4 "cmp_tdc_clks_rsts_mgment.pll_byte_index(4)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_4)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_4)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI2VQP_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI30DP2_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIEC933_6)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_5 "cmp_tdc_clks_rsts_mgment.pll_byte_index(5)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_5)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIV4HN_5)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI2VQP_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI54DP2_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIGC933_7)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_byte_indexZ0Z_6 "cmp_tdc_clks_rsts_mgment.pll_byte_index(6)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_6)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI2VQP_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(8)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI78DP2_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIIC933_8)) )) - (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_5 "cmp_tdc_clks_rsts_mgment.config_st(5)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_5)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(9)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_9)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI9CDP2_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIKC933_9)) )) - (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_3 "cmp_tdc_clks_rsts_mgment.config_st(3)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_3)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o5_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(10)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_10)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII5N13_8)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI43QA3_10)) )) - (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_2 "cmp_tdc_clks_rsts_mgment.config_st(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o5_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(11)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_11)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIKDN13_9)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6BQA3_11)) )) - (net (rename cmp_tdc_clks_rsts_mgment_config_stZ0Z_1 "cmp_tdc_clks_rsts_mgment.config_st(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_config_st_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_3)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_4)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIV4HN_5)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_6)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI6B2H_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(12)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_12)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJRO2_10)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8JQA3_12)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_0 "cmp_tdc_clks_rsts_mgment.pll_bit_index(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_0)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o5_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o6_2)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(13)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o5_13)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNRO2_11)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIARQA3_13)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_1 "cmp_tdc_clks_rsts_mgment.pll_bit_index(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o6_2)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1_RNO)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(14)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_14)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SRO2_12)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIC3RA3_14)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_2 "cmp_tdc_clks_rsts_mgment.pll_bit_index(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNI3HKA_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_2_RNO)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_8)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerZ0Z_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.prer(15)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_indexZ0Z_3 "cmp_tdc_clks_rsts_mgment.pll_bit_index(3)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNI3HKA_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_7)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_7)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_0 "cmp_tdc_clks_rsts_mgment.dac_bit_index(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o6_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o6_0)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_o2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_1 "cmp_tdc_clks_rsts_mgment.dac_bit_index(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_1)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o5_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o6_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_o2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_2 "cmp_tdc_clks_rsts_mgment.dac_bit_index(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_2)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI7LDV1_17)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIKQ4E1_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_o2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_3 "cmp_tdc_clks_rsts_mgment.dac_bit_index(3)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_3)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI7LDV1_17)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIKQ4E1_1)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_bit_indexZ0Z_4 "cmp_tdc_clks_rsts_mgment.dac_bit_index(4)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_4)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNI0C6J3_4)) - (portRef S (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIOR5J3_4)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0)) )) - (net (rename cmp_tdc_clks_rsts_mgment_internal_rst_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.internal_rst_synch(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0_1)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rstZ0 "cmp_tdc_clks_rsts_mgment.rst") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk_synchZ0Z_1 "cmp_tdc_clks_rsts_mgment.acam_refclk_synch(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_e)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_waitingfor_refclk_i_RNO)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_0_d)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) )) - (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_pZ0 "cmp_tdc_clks_rsts_mgment.send_dac_word_r_edge_p") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_p)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_23)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_22)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_21)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_20)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_19)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_18)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_17)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_16)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_15)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_14)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_13)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_12)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_11)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_10)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_9)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_8)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_7)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_6)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_5)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_4)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_3)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_2)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_1)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_p_synchZ0Z_1 "cmp_tdc_clks_rsts_mgment.send_dac_word_p_synch(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_1)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_r_edge_p)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_in_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.rst_in_synch(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_0)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6)) )) - (net (rename cmp_tdc_clks_rsts_mgment_acam_refclk_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.acam_refclk_synch(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_0)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_acam_refclk_synch_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txrZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.txr(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_7)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_status_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.pll_status_synch(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_0)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_status_synch_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_done "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.done") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag_RNO)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_0 "cmp_tdc_clks_rsts_mgment.rst_cnt(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_0)) - (portRef I0 (instanceRef rst_0_sqmuxa_i)) - (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) - (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_7)) - (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_6)) - (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) - (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) - (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_3)) - (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_2)) - (portRef I2 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_1)) - (portRef CI (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_1)) - (portRef CI (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_cry_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irxack "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.irxack") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxack)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_1 "cmp_tdc_clks_rsts_mgment.rst_cnt(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_1)) - (portRef I0 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNID3G_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_i2c_busy "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.i2c_busy") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusy_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_2 "cmp_tdc_clks_rsts_mgment.rst_cnt(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_2)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_2)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNID3G_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_i2c_al "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.i2c_al") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o5_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o6_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o5_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_7)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_i)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag_RNO)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_3 "cmp_tdc_clks_rsts_mgment.rst_cnt(3)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNI6701_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_4 "cmp_tdc_clks_rsts_mgment.rst_cnt(4)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_4)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_4)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNI6701_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_1)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_5 "cmp_tdc_clks_rsts_mgment.rst_cnt(5)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_5)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_5)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNI6701_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_3)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_6 "cmp_tdc_clks_rsts_mgment.rst_cnt(6)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_6)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_6)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNI6701_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_cntZ0Z_7 "cmp_tdc_clks_rsts_mgment.rst_cnt(7)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_7)) - (portRef I1 (instanceRef rst_0_sqmuxa_i)) - (portRef I3 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_7)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_7)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_6)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_3)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_2)) - (portRef I1 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_5)) )) - (net (rename cmp_tdc_clks_rsts_mgment_send_dac_word_p_synchZ0Z_0 "cmp_tdc_clks_rsts_mgment.send_dac_word_p_synch(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_0)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_send_dac_word_p_synch_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_0 "cmp_tdc_clks_rsts_mgment.dac_word(0)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_0)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_7)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_1 "cmp_tdc_clks_rsts_mgment.dac_word(1)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_1)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIKQ4E1_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxr_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxr(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_7)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o6_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_7)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_2 "cmp_tdc_clks_rsts_mgment.dac_word(2)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_2)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag_RNO)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_3 "cmp_tdc_clks_rsts_mgment.dac_word(3)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_3)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxackZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.rxack") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_rxack)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_4 "cmp_tdc_clks_rsts_mgment.dac_word(4)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_4)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_5 "cmp_tdc_clks_rsts_mgment.dac_word(5)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_5)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIKQ4E1_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flagZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.irq_flag") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag_RNO)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_6 "cmp_tdc_clks_rsts_mgment.dac_word(6)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_6)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_alZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.al") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_al)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_7 "cmp_tdc_clks_rsts_mgment.dac_word(7)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_7)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_tipZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.tip") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_tip)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_8 "cmp_tdc_clks_rsts_mgment.dac_word(8)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_8)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_alZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.st_irq_block.gen_sr_bits.al_2") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_al_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_al)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_9 "cmp_tdc_clks_rsts_mgment.dac_word(9)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_9)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI7LDV1_17)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIKQ4E1_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_10 "cmp_tdc_clks_rsts_mgment.dac_word(10)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_10)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_11 "cmp_tdc_clks_rsts_mgment.dac_word(11)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_11)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_12 "cmp_tdc_clks_rsts_mgment.dac_word(12)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_12)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIGE4E1_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_13 "cmp_tdc_clks_rsts_mgment.dac_word(13)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_13)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI7LDV1_17)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNIKQ4E1_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_14 "cmp_tdc_clks_rsts_mgment.dac_word(14)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_14)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctrZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.ctr(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o6_5)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_15 "cmp_tdc_clks_rsts_mgment.dac_word(15)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_15)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(4)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_16 "cmp_tdc_clks_rsts_mgment.dac_word(16)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_16)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(0)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_0)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_17 "cmp_tdc_clks_rsts_mgment.dac_word(17)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_17)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI7LDV1_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(1)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_1)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_18 "cmp_tdc_clks_rsts_mgment.dac_word(18)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_18)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(2)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_19 "cmp_tdc_clks_rsts_mgment.dac_word(19)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_19)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(3)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_3)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_20 "cmp_tdc_clks_rsts_mgment.dac_word(20)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_20)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI3HDV1_16)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(4)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_4)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_21 "cmp_tdc_clks_rsts_mgment.dac_word(21)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_21)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_RNI7LDV1_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(5)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_5)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_22 "cmp_tdc_clks_rsts_mgment.dac_word(22)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_22)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(6)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_6)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_wordZ0Z_23 "cmp_tdc_clks_rsts_mgment.dac_word(23)") (joined - (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_dac_word_23)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o_9(7)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_7)) )) - (net (rename cmp_tdc_clks_rsts_mgment_N_189s "cmp_tdc_clks_rsts_mgment.N_189s") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_config_st_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) )) - (net (rename cmp_tdc_clks_rsts_mgment_N_190s "cmp_tdc_clks_rsts_mgment.N_190s") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_config_st_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_crZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) )) - (net (rename cmp_tdc_clks_rsts_mgment_N_192s "cmp_tdc_clks_rsts_mgment.N_192s") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_1)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_config_st_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_211 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_211") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_4)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_4)) )) - (net N_63 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o6_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_10)) )) - (net N_15 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIHU1M_o5_1)) - (portRef ADDRA_5 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o6_0)) )) - (net N_1749 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o6_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_10)) )) - (net N_1783 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmdZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o6_0)) )) - (net N_24_0 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_15)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_ack "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_ack") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o5_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2)) )) - (net N_26_0 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_14)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_txdZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_txd") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_txd)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o5_0)) )) - (net N_28_0 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_13)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_rxd "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_rxd") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) )) - (net N_1789 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_12)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcntZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNI8EOI_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2)) )) - (net N_1793 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_10)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcntZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNI8EOI_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2)) )) - (net N_1795 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_9)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcntZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.dcnt(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNI8EOI_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2)) )) - (net N_1797 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_8)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ldZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.ld") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ld)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_7)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2)) )) - (net N_1802 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shiftZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.shift") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2)) )) - (net N_49 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ack_out_e)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1)) )) - (net N_1805 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) )) - (net N_1807 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0_2)) )) - (net N_1809 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o5_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) )) - (net N_1810 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO)) )) - (net N_1872 (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1_sqmuxa_i_i_a2)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_15)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_14)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_13)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_12)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_11)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_10)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_9)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_8)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_7)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_6)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_5)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_4)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_3)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_2)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1)) - (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_stateZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2)) )) - (net N_1873 (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o6_3)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.shift_4") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift)) )) - (net N_55_0 (joined - (portRef O (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o5)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.ld_5") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_ld)) )) - (net N_1929 (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1_o5)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_0)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_1)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_2)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_3)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_4)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_5)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_6)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_7)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_8)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_9)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_10)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_11)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_12)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_13)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_14)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_15)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_16)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_17)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_18)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_19)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_20)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_21)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_22)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_23)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_24)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_25)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_26)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_27)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_28)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_29)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_30)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_31)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txdZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.statemachine.nxt_state_decoder.core_txd_7") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_txd)) )) - (net N_1936 (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o5_4)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(0)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_5)) )) - (net N_1944 (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43_RNO)) - (portRef S (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(2)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_3)) )) - (net N_2118 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_done_o)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(3)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_2)) )) - (net N_196 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns(5)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_0)) )) - (net N_471 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNO)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_3)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_274 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_274") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_1)) )) - (net N_679 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNO)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_iscl_oen_0_sqmuxa "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_iscl_oen_0_sqmuxa") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o5)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_rep0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_2_sqmuxa "cmp_tdc_clks_rsts_mgment.pll_sdi_o_2_sqmuxa") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o6)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_sclk)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_sclk_oreg)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_isda_oen_0_sqmuxa") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o6)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_rep0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) )) - (net N_2870 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o6_0)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_1") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_rep0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) )) - (net (rename cmp_tdc_clks_rsts_mgment_dac_index_control_dac_bit_index_5_3 "cmp_tdc_clks_rsts_mgment.dac_index_control.dac_bit_index_5(3)") (joined - (portRef LO (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_3)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCLZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSCL") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) )) - (net N_2883 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFC3S_0)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_4)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI2C933_0)) )) - (net N_195 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2)) - (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_cs_n_o)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI4C933_1)) )) - (net N_82_0 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) - (portRef ADDRAWRADDR_4 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6C933_2)) )) - (net N_83_0 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o5)) - (portRef ADDRAWRADDR_5 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8C933_3)) )) - (net N_84_0 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o6)) - (portRef ADDRAWRADDR_10 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIAC933_4)) )) - (net (rename cmp_tdc_clks_rsts_mgment_pll_index_control_pll_bit_index_6_0 "cmp_tdc_clks_rsts_mgment.pll_index_control.pll_bit_index_6(0)") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o5_2)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_5)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNICC933_5)) )) - (net N_2892 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_5)) - (portRef ADDRAWRADDR_9 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIEC933_6)) )) - (net N_2893 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_4)) - (portRef ADDRAWRADDR_8 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIGC933_7)) )) - (net N_2894 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_3)) - (portRef ADDRAWRADDR_7 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(8)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIIC933_8)) )) - (net N_2895 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_2)) - (portRef ADDRAWRADDR_6 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(9)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_9)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIKC933_9)) )) - (net N_2898 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(10)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_10)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI43QA3_10)) )) - (net N_2899 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(11)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_11)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6BQA3_11)) )) - (net N_383 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_29)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(12)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_12)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8JQA3_12)) )) - (net N_387 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_30)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(13)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_13)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIARQA3_13)) )) - (net N_391 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_31)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(14)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_14)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIC3RA3_14)) )) - (net N_395 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_13)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cntZ0Z_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnt(15)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_15)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) )) - (net N_399 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_14)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSCLZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sSCL") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSCL)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1_1)) )) - (net N_407 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_10)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dscl_oenZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dscl_oen") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dscl_oen)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1_1)) )) - (net N_415 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_12)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIRFCP2_0)) )) - (net N_422 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJCP2_1)) )) - (net N_424 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNCP2_2)) )) - (net N_466 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_9)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_8)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SCP2_3)) )) - (net N_468 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_lut6_2_o6_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI30DP2_4)) )) - (net N_481 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_5)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI54DP2_5)) )) - (net N_517 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI78DP2_6)) )) - (net N_606 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI9CDP2_7)) )) - (net N_607 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o6_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(8)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII5N13_8)) )) - (net N_625 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(9)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_9)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIKDN13_9)) )) - (net N_637 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(10)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_10)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJRO2_10)) )) - (net N_3370 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(11)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_11)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNRO2_11)) )) - (net N_3371 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(12)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_12)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SRO2_12)) )) - (net N_680 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cntZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.filter_cnt(13)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_13)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) )) - (net N_684 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSDAZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sSDA") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSDA)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dout_e)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u)) )) - (net N_685 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDAZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.dSDA") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o6)) )) - (net N_686 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sto_conditionZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sto_condition") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sto_condition)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusy_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u)) )) - (net N_687 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_clk_enZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.clk_en") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_clk_en)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_0)) )) - (net N_689 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stopZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cmd_stop") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u)) )) - (net N_690 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_17 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(17)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_17)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14)) )) - (net N_691 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCLZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSCL(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCL_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) )) - (net N_692 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCLZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSCL(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCL_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) )) - (net N_693 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o5_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCLZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSCL(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSCL_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) )) - (net N_694 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDAZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) )) - (net N_695 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o6_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDAZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) )) - (net N_761 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI3PQ51_o5_6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDAZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.fSDA(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_fSDA_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) )) - (net N_764 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sta_conditionZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sta_condition") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sta_condition)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusy_2)) )) - (net N_765 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o6_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chkZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.sda_chk") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_2_0)) )) - (net N_766 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o6_4)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_16 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(16)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_16)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_isda_oen_0_sqmuxa_0_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_1_1_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1)) )) - (net N_815 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o6_8)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_9)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(3)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14)) )) - (net N_3455 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(2)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) )) - (net N_3458 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPKSR_o5_8)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sda_chk_e)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_1_1_0)) )) - (net N_3462 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o6_26)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) )) - (net N_829 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o6_27)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_12 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(12)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_12)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3)) )) - (net N_832 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o6_28)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_11 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(11)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_11)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_a3_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_1_1_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6)) )) - (net N_835 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_13)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_7 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(7)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_a3_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10)) )) - (net N_838 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o6_14)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(4)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) )) - (net N_844 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o6_10)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_10)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(14)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_14)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_1_1_0)) )) - (net N_850 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o6_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_13 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(13)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_13)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_1_1_0)) )) - (net N_852 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o6_17)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(10)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_10)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_0)) )) - (net N_853 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o6_25)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_9 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(9)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_9)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_0)) )) - (net N_855 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o6_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSCL_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.dSCL_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL)) )) - (net N_856 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o6_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.dSDA_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA)) )) - (net N_857 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o6_3)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1Z0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait_1_1") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait)) )) - (net N_859 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o6_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCLZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSCL(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_0)) )) - (net N_860 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o6_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCLZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSCL(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1)) )) - (net N_861 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3(0)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_0)) )) - (net N_864 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRBN71_o5_26)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_26)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSCL_3(1)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSCL_1)) )) - (net N_867 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIMFN71_o5_27)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_27)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDAZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSDA(0)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_0)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o5_0)) )) - (net N_870 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIOFN71_o5_28)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDAZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cSDA(1)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o5_1)) )) - (net N_876 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL7N71_o5_17)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2(0)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o6_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_0)) )) - (net N_877 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o6_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.capture_scl_sda.cSDA_2(1)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSDA_2_lut6_2_o5_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cSDA_1)) )) - (net N_882 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_13)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.detect_sta_sto.sta_condition_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sta_condition)) )) - (net N_883 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o6_19)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sto_condition_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.detect_sta_sto.sto_condition_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_detect_sta_sto_sta_condition_2_lut6_2_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sto_condition)) )) - (net N_885 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNII3N71_o5_14)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_15 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(15)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_15)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_0)) )) - (net N_886 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_18)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_8 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(8)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_8)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) )) - (net N_891 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o5_20)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(6)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_0)) )) - (net N_892 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNID7N71_o5_10)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_stateZ0Z_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state(5)") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_0)) )) - (net N_893 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIPKCK_o5_3)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSCL_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSCL)) )) - (net N_902 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o5_6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.scl_sda.sSDA_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSDA_2_lut6_2_o6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_sSDA)) )) - (net N_3481 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI2FT52_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNO)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIMH7H2_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINL7H2_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ57H2_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIK97H2_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILD7H2_2)) - )) - (net N_3498 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI8U7S_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNO)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_19_i_s_0_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusyZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_busy.ibusy_2") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_busy_ibusy_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ibusy)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_2 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_2") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_nsZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(0)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_70 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_70") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_nsZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(1)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_56 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_56") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_nsZ0Z_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(6)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_63 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_63") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_nsZ0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(10)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_42 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_42") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_nsZ0Z_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns(14)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_49 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_49") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_waitZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.slave_wait") (joined + (portRef Q (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_1_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNI1IS73)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_28 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_28") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnte "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.cnte") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNI1IS73)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_0)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_1)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_2)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_3)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_4)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_5)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_6)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_7)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_8)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_9)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_10)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_11)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_12)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_13)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_14)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_15)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_35 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_35") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_al.ial_4") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_14 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_14") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) + (net (rename cmp_tdc_N_74_0 "cmp_tdc.N_74_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_21 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_21") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) + (net (rename cmp_tdc_N_78_0 "cmp_tdc.N_78_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIH5CR_o6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_1)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_7 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_7") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) + (net (rename cmp_tdc_N_82_0 "cmp_tdc.N_82_0") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNO_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_2)) )) - (net N_3667 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIOR5J3_4)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) - (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) + (net (rename cmp_tdc_N_881 "cmp_tdc.N_881") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_1)) )) - (net N_169 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNI0C6J3_4)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO_0)) + (net (rename cmp_tdc_N_883 "cmp_tdc.N_883") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_0)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_1)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_2)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_3)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_4)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_5)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_6)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_7)) )) - (net N_3685 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5_RNO)) - (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5)) + (net (rename cmp_tdc_N_884 "cmp_tdc.N_884") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_0)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_1)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_2)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_3)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_4)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_5)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_6)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_7)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_0)) - (portRef CI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_0)) + (net (rename cmp_tdc_N_122 "cmp_tdc.N_122") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_7)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_0)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_3)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_4)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6)) + )) + (net (rename cmp_tdc_N_1011 "cmp_tdc.N_1011") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o5_5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_tip)) )) - (net N_14 (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_1_i_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_e_3)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_cy_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_0(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_0(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_0(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_0(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_0(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_0(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_0(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_0(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_7)) @@ -60798,11 +58585,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_0(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_0(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_0(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_10)) @@ -60810,23 +58597,23 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_0(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_0(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_0(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_0(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_0(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_0(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_16)) @@ -60834,83 +58621,83 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_0(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_0(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_0(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_0(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_0(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o5_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_0(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o5_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_0(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_0(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_0(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_0(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_0(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0_30 "cmp_tdc.tdc_core.acam_config_rdbk_0(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_0_31 "cmp_tdc.tdc_core.acam_config_rdbk_0(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_1(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_1(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_1(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_1(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_1(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_1(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_1(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_1(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_7)) @@ -60918,11 +58705,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_1(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_1(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_1(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_10)) @@ -60930,23 +58717,23 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_1(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_1(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_1(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_1(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_1(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_1(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_16)) @@ -60954,83 +58741,83 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_1(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_1(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_1(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_1(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_1(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_1(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNI72N01_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_1(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o5_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_1(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_1(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_1(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_1(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1_30 "cmp_tdc.tdc_core.acam_config_rdbk_1(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_1_31 "cmp_tdc.tdc_core.acam_config_rdbk_1(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_2(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_2(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_2(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_2(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_2(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_2(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_2(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_2(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_7)) @@ -61038,11 +58825,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_2(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_2(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_2(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_10)) @@ -61050,23 +58837,23 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_2(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_2(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_2(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_2(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_2(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_2(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_16)) @@ -61074,83 +58861,83 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_2(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_2(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_2(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_2(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_2(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o5_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_2(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o5_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_2(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_2(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_2(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_2(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_2(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2_30 "cmp_tdc.tdc_core.acam_config_rdbk_2(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_2cst_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_2_31 "cmp_tdc.tdc_core.acam_config_rdbk_2(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_2cst_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_3(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_3(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_3(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_3(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_3(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_3(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_3(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_3(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_7)) @@ -61158,11 +58945,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_3(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_3(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_3(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_10)) @@ -61170,23 +58957,23 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_3(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_3(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_3(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_3(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_3(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_3(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_16)) @@ -61194,119 +58981,119 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_3(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_3(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_3(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_3(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_3(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_3(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNI72N01_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_3(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o5_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_3(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_3(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_3(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_3(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3_30 "cmp_tdc.tdc_core.acam_config_rdbk_3(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_3cst_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_3_31 "cmp_tdc.tdc_core.acam_config_rdbk_3(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_3cst_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_4(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_4(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIBERK_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_4(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o6_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_4(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o6_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_4(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o6_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_4(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_4(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_4(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_4(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_4(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_4(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_4(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_4(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_4(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_4(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_4(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_4(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_16)) @@ -61314,119 +59101,119 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_4(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_4(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_4(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_4(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_4(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_4(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_4(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_4(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_4(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_4(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_4(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4_30 "cmp_tdc.tdc_core.acam_config_rdbk_4(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_4cst_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_4_31 "cmp_tdc.tdc_core.acam_config_rdbk_4(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_4cst_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_5(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_5(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_5(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_5(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_5(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_5(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_5(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_5(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_5(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_5(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_5(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_5(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_5(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_5(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_5(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_5(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_5(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_16)) @@ -61434,119 +59221,119 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_5(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_5(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_5(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o6_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_5(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o5_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_5(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o6_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_5(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_5(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_5(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_5(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_5(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_5(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5_30 "cmp_tdc.tdc_core.acam_config_rdbk_5(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_5cst_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_5_31 "cmp_tdc.tdc_core.acam_config_rdbk_5(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_5cst_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_6(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_6(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIBERK_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_6(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o6_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_6(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o6_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_6(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o6_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_6(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_6(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_6(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_6(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_6(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_6(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_6(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_6(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_6(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_6(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_6(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_6(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_16)) @@ -61554,119 +59341,119 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_6(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_6(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_6(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_6(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_6(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_6(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_6(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_6(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_6(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_6(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_6(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6_30 "cmp_tdc.tdc_core.acam_config_rdbk_6(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_6cst_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_6_31 "cmp_tdc.tdc_core.acam_config_rdbk_6(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_6cst_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_7(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_7(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_7(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_7(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_7(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_7(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_7(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_7(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_7(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_7(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_7(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_7(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_7(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_7(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_7(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_7(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_7(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_16)) @@ -61674,55 +59461,55 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_7(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_7(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_7(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o6_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_7(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o5_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_7(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o6_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_7(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_7(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_7(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_7(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_7(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_7(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7_30 "cmp_tdc.tdc_core.acam_config_rdbk_7(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_7cst_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_7_31 "cmp_tdc.tdc_core.acam_config_rdbk_7(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_7cst_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_8(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_0)) @@ -61734,23 +59521,23 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_8(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_8(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_8(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_8(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_8(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_8(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_7)) @@ -61762,15 +59549,15 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_8(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_8(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_8(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_8(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_12)) @@ -61778,11 +59565,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_8(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_8(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_8(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_15)) @@ -61790,35 +59577,35 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_8(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_8(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_8(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_8(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_8(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_8(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_8(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_8(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_8(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_24)) @@ -61826,7 +59613,7 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_8(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8Z0Z_26 "cmp_tdc.tdc_core.acam_config_rdbk_8(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_26)) @@ -61838,35 +59625,35 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8_30 "cmp_tdc.tdc_core.acam_config_rdbk_8(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_8cst_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_8_31 "cmp_tdc.tdc_core.acam_config_rdbk_8(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_8cst_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_31)) )) (net (rename cmp_tdc_tdc_core_acam_status_0 "cmp_tdc.tdc_core.acam_status(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o5_7)) )) (net (rename cmp_tdc_tdc_core_acam_status_1 "cmp_tdc.tdc_core.acam_status(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_2)) )) (net (rename cmp_tdc_tdc_core_acam_status_2 "cmp_tdc.tdc_core.acam_status(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_2)) )) (net (rename cmp_tdc_tdc_core_acam_status_3 "cmp_tdc.tdc_core.acam_status(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_3)) )) (net (rename cmp_tdc_tdc_core_acam_status_4 "cmp_tdc.tdc_core.acam_status(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o6_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_5)) )) (net (rename cmp_tdc_tdc_core_acam_status_5 "cmp_tdc.tdc_core.acam_status(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_5)) )) (net (rename cmp_tdc_tdc_core_acam_status_6 "cmp_tdc.tdc_core.acam_status(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_6)) @@ -61878,15 +59665,15 @@ )) (net (rename cmp_tdc_tdc_core_acam_status_8 "cmp_tdc.tdc_core.acam_status(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_8)) )) (net (rename cmp_tdc_tdc_core_acam_status_9 "cmp_tdc.tdc_core.acam_status(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_10)) )) (net (rename cmp_tdc_tdc_core_acam_status_10 "cmp_tdc.tdc_core.acam_status(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_10)) )) (net (rename cmp_tdc_tdc_core_acam_status_11 "cmp_tdc.tdc_core.acam_status(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_11)) @@ -61894,79 +59681,79 @@ )) (net (rename cmp_tdc_tdc_core_acam_status_12 "cmp_tdc.tdc_core.acam_status(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11)) )) (net (rename cmp_tdc_tdc_core_acam_status_13 "cmp_tdc.tdc_core.acam_status(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o5_13)) )) (net (rename cmp_tdc_tdc_core_acam_status_14 "cmp_tdc.tdc_core.acam_status(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_8)) )) (net (rename cmp_tdc_tdc_core_acam_status_15 "cmp_tdc.tdc_core.acam_status(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_3)) )) (net (rename cmp_tdc_tdc_core_acam_status_16 "cmp_tdc.tdc_core.acam_status(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o5_16)) )) (net (rename cmp_tdc_tdc_core_acam_status_17 "cmp_tdc.tdc_core.acam_status(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_17)) )) (net (rename cmp_tdc_tdc_core_acam_status_18 "cmp_tdc.tdc_core.acam_status(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_18)) )) (net (rename cmp_tdc_tdc_core_acam_status_19 "cmp_tdc.tdc_core.acam_status(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_19)) )) (net (rename cmp_tdc_tdc_core_acam_status_20 "cmp_tdc.tdc_core.acam_status(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_20)) )) (net (rename cmp_tdc_tdc_core_acam_status_21 "cmp_tdc.tdc_core.acam_status(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o5_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_21)) )) (net (rename cmp_tdc_tdc_core_acam_status_22 "cmp_tdc.tdc_core.acam_status(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o5_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_23)) )) (net (rename cmp_tdc_tdc_core_acam_status_23 "cmp_tdc.tdc_core.acam_status(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o6_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_23)) )) (net (rename cmp_tdc_tdc_core_acam_status_24 "cmp_tdc.tdc_core.acam_status(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_25)) )) (net (rename cmp_tdc_tdc_core_acam_status_25 "cmp_tdc.tdc_core.acam_status(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_25)) )) (net (rename cmp_tdc_tdc_core_acam_status_26 "cmp_tdc.tdc_core.acam_status(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_27)) )) (net (rename cmp_tdc_tdc_core_acam_status_27 "cmp_tdc.tdc_core.acam_status(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_27)) )) (net (rename cmp_tdc_tdc_core_acam_status_30 "cmp_tdc.tdc_core.acam_status(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_9cst_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_30)) )) (net (rename cmp_tdc_tdc_core_acam_status_31 "cmp_tdc.tdc_core.acam_status(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_9cst_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_0 "cmp_tdc.tdc_core.acam_config_rdbk_10(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_1 "cmp_tdc.tdc_core.acam_config_rdbk_10(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_1)) @@ -61974,11 +59761,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_2 "cmp_tdc.tdc_core.acam_config_rdbk_10(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_3 "cmp_tdc.tdc_core.acam_config_rdbk_10(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_4 "cmp_tdc.tdc_core.acam_config_rdbk_10(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_4)) @@ -61986,11 +59773,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_5 "cmp_tdc.tdc_core.acam_config_rdbk_10(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_6 "cmp_tdc.tdc_core.acam_config_rdbk_10(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o5_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_7 "cmp_tdc.tdc_core.acam_config_rdbk_10(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_7)) @@ -61998,31 +59785,31 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_8 "cmp_tdc.tdc_core.acam_config_rdbk_10(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_9 "cmp_tdc.tdc_core.acam_config_rdbk_10(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_10 "cmp_tdc.tdc_core.acam_config_rdbk_10(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_11 "cmp_tdc.tdc_core.acam_config_rdbk_10(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_12 "cmp_tdc.tdc_core.acam_config_rdbk_10(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_13 "cmp_tdc.tdc_core.acam_config_rdbk_10(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_14 "cmp_tdc.tdc_core.acam_config_rdbk_10(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_15 "cmp_tdc.tdc_core.acam_config_rdbk_10(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_15)) @@ -62030,39 +59817,39 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_16 "cmp_tdc.tdc_core.acam_config_rdbk_10(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_17 "cmp_tdc.tdc_core.acam_config_rdbk_10(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_18 "cmp_tdc.tdc_core.acam_config_rdbk_10(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_19 "cmp_tdc.tdc_core.acam_config_rdbk_10(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_20 "cmp_tdc.tdc_core.acam_config_rdbk_10(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_21 "cmp_tdc.tdc_core.acam_config_rdbk_10(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_22 "cmp_tdc.tdc_core.acam_config_rdbk_10(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_23 "cmp_tdc.tdc_core.acam_config_rdbk_10(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_24 "cmp_tdc.tdc_core.acam_config_rdbk_10(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_25 "cmp_tdc.tdc_core.acam_config_rdbk_10(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_25)) @@ -62074,39 +59861,39 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10Z0Z_27 "cmp_tdc.tdc_core.acam_config_rdbk_10(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10_30 "cmp_tdc.tdc_core.acam_config_rdbk_10(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_10cst_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_rdbk_10_31 "cmp_tdc.tdc_core.acam_config_rdbk_10(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_10cst_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_31)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_0 "cmp_tdc.tdc_core.acam_ififo1(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o5_7)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_1 "cmp_tdc.tdc_core.acam_ififo1(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_2)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_2 "cmp_tdc.tdc_core.acam_ififo1(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_2)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_3 "cmp_tdc.tdc_core.acam_ififo1(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_3)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_4 "cmp_tdc.tdc_core.acam_ififo1(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o6_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_5)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_5 "cmp_tdc.tdc_core.acam_ififo1(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_5)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_6 "cmp_tdc.tdc_core.acam_ififo1(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_6)) @@ -62118,15 +59905,15 @@ )) (net (rename cmp_tdc_tdc_core_acam_ififo1_8 "cmp_tdc.tdc_core.acam_ififo1(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_8)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_9 "cmp_tdc.tdc_core.acam_ififo1(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_10)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_10 "cmp_tdc.tdc_core.acam_ififo1(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_10)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_11 "cmp_tdc.tdc_core.acam_ififo1(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_11)) @@ -62134,79 +59921,79 @@ )) (net (rename cmp_tdc_tdc_core_acam_ififo1_12 "cmp_tdc.tdc_core.acam_ififo1(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_13 "cmp_tdc.tdc_core.acam_ififo1(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o5_13)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_14 "cmp_tdc.tdc_core.acam_ififo1(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_8)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_15 "cmp_tdc.tdc_core.acam_ififo1(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_3)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_16 "cmp_tdc.tdc_core.acam_ififo1(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o5_16)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_17 "cmp_tdc.tdc_core.acam_ififo1(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_17)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_18 "cmp_tdc.tdc_core.acam_ififo1(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_18)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_19 "cmp_tdc.tdc_core.acam_ififo1(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_19)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_20 "cmp_tdc.tdc_core.acam_ififo1(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_20)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_21 "cmp_tdc.tdc_core.acam_ififo1(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o5_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_21)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_22 "cmp_tdc.tdc_core.acam_ififo1(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o5_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_23)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_23 "cmp_tdc.tdc_core.acam_ififo1(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o6_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_23)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_24 "cmp_tdc.tdc_core.acam_ififo1(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_25)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_25 "cmp_tdc.tdc_core.acam_ififo1(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_25)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_26 "cmp_tdc.tdc_core.acam_ififo1(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_27)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_27 "cmp_tdc.tdc_core.acam_ififo1(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_27)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_30 "cmp_tdc.tdc_core.acam_ififo1(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_30)) )) (net (rename cmp_tdc_tdc_core_acam_ififo1_31 "cmp_tdc.tdc_core.acam_ififo1(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_30)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_0 "cmp_tdc.tdc_core.acam_ififo2(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_1 "cmp_tdc.tdc_core.acam_ififo2(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_1)) @@ -62214,11 +60001,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_ififo2_2 "cmp_tdc.tdc_core.acam_ififo2(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_2)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_3 "cmp_tdc.tdc_core.acam_ififo2(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_3)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_4 "cmp_tdc.tdc_core.acam_ififo2(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_4)) @@ -62226,11 +60013,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_ififo2_5 "cmp_tdc.tdc_core.acam_ififo2(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_5)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_6 "cmp_tdc.tdc_core.acam_ififo2(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o5_6)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_7 "cmp_tdc.tdc_core.acam_ififo2(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_7)) @@ -62238,31 +60025,31 @@ )) (net (rename cmp_tdc_tdc_core_acam_ififo2_8 "cmp_tdc.tdc_core.acam_ififo2(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_8)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_9 "cmp_tdc.tdc_core.acam_ififo2(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_9)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_10 "cmp_tdc.tdc_core.acam_ififo2(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_10)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_11 "cmp_tdc.tdc_core.acam_ififo2(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_12 "cmp_tdc.tdc_core.acam_ififo2(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_12)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_13 "cmp_tdc.tdc_core.acam_ififo2(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_13)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_14 "cmp_tdc.tdc_core.acam_ififo2(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_14)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_15 "cmp_tdc.tdc_core.acam_ififo2(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_15)) @@ -62270,39 +60057,39 @@ )) (net (rename cmp_tdc_tdc_core_acam_ififo2_16 "cmp_tdc.tdc_core.acam_ififo2(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_16)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_17 "cmp_tdc.tdc_core.acam_ififo2(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_17)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_18 "cmp_tdc.tdc_core.acam_ififo2(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_18)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_19 "cmp_tdc.tdc_core.acam_ififo2(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_19)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_20 "cmp_tdc.tdc_core.acam_ififo2(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_21)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_21 "cmp_tdc.tdc_core.acam_ififo2(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_21)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_22 "cmp_tdc.tdc_core.acam_ififo2(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_22)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_23 "cmp_tdc.tdc_core.acam_ififo2(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_23)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_24 "cmp_tdc.tdc_core.acam_ififo2(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_24)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_25 "cmp_tdc.tdc_core.acam_ififo2(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_25)) @@ -62314,15 +60101,15 @@ )) (net (rename cmp_tdc_tdc_core_acam_ififo2_27 "cmp_tdc.tdc_core.acam_ififo2(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_30 "cmp_tdc.tdc_core.acam_ififo2(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_30)) )) (net (rename cmp_tdc_tdc_core_acam_ififo2_31 "cmp_tdc.tdc_core.acam_ififo2(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_31)) )) (net (rename cmp_tdc_tdc_core_acam_start01_0 "cmp_tdc.tdc_core.acam_start01(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_0)) @@ -62334,23 +60121,23 @@ )) (net (rename cmp_tdc_tdc_core_acam_start01_2 "cmp_tdc.tdc_core.acam_start01(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_2)) )) (net (rename cmp_tdc_tdc_core_acam_start01_3 "cmp_tdc.tdc_core.acam_start01(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_3)) )) (net (rename cmp_tdc_tdc_core_acam_start01_4 "cmp_tdc.tdc_core.acam_start01(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_4)) )) (net (rename cmp_tdc_tdc_core_acam_start01_5 "cmp_tdc.tdc_core.acam_start01(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) )) (net (rename cmp_tdc_tdc_core_acam_start01_6 "cmp_tdc.tdc_core.acam_start01(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_6)) )) (net (rename cmp_tdc_tdc_core_acam_start01_7 "cmp_tdc.tdc_core.acam_start01(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_7)) @@ -62362,15 +60149,15 @@ )) (net (rename cmp_tdc_tdc_core_acam_start01_9 "cmp_tdc.tdc_core.acam_start01(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_9)) )) (net (rename cmp_tdc_tdc_core_acam_start01_10 "cmp_tdc.tdc_core.acam_start01(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_10)) )) (net (rename cmp_tdc_tdc_core_acam_start01_11 "cmp_tdc.tdc_core.acam_start01(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_11)) )) (net (rename cmp_tdc_tdc_core_acam_start01_12 "cmp_tdc.tdc_core.acam_start01(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_12)) @@ -62378,11 +60165,11 @@ )) (net (rename cmp_tdc_tdc_core_acam_start01_13 "cmp_tdc.tdc_core.acam_start01(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_13)) )) (net (rename cmp_tdc_tdc_core_acam_start01_14 "cmp_tdc.tdc_core.acam_start01(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_14)) )) (net (rename cmp_tdc_tdc_core_acam_start01_15 "cmp_tdc.tdc_core.acam_start01(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_15)) @@ -62390,35 +60177,35 @@ )) (net (rename cmp_tdc_tdc_core_acam_start01_16 "cmp_tdc.tdc_core.acam_start01(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_16)) )) (net (rename cmp_tdc_tdc_core_acam_start01_17 "cmp_tdc.tdc_core.acam_start01(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_17)) )) (net (rename cmp_tdc_tdc_core_acam_start01_18 "cmp_tdc.tdc_core.acam_start01(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_18)) )) (net (rename cmp_tdc_tdc_core_acam_start01_19 "cmp_tdc.tdc_core.acam_start01(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_19)) )) (net (rename cmp_tdc_tdc_core_acam_start01_20 "cmp_tdc.tdc_core.acam_start01(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_20)) )) (net (rename cmp_tdc_tdc_core_acam_start01_21 "cmp_tdc.tdc_core.acam_start01(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_21)) )) (net (rename cmp_tdc_tdc_core_acam_start01_22 "cmp_tdc.tdc_core.acam_start01(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_22)) )) (net (rename cmp_tdc_tdc_core_acam_start01_23 "cmp_tdc.tdc_core.acam_start01(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_23)) )) (net (rename cmp_tdc_tdc_core_acam_start01_24 "cmp_tdc.tdc_core.acam_start01(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_24)) @@ -62426,7 +60213,7 @@ )) (net (rename cmp_tdc_tdc_core_acam_start01_25 "cmp_tdc.tdc_core.acam_start01(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_25)) )) (net (rename cmp_tdc_tdc_core_acam_start01_26 "cmp_tdc.tdc_core.acam_start01(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_start01_o_26)) @@ -62438,17 +60225,17 @@ )) (net (rename cmp_tdc_tdc_core_acam_start01_30 "cmp_tdc.tdc_core.acam_start01(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_30)) )) (net (rename cmp_tdc_tdc_core_acam_start01_31 "cmp_tdc.tdc_core.acam_start01(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_31)) )) (net (rename cmp_tdc_tdc_core_wr_index_4 "cmp_tdc.tdc_core.wr_index(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0)) (portRef I (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_s_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6_0_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_s_1)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_cry_1)) (portRef addra_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -62456,56 +60243,56 @@ (net (rename cmp_tdc_tdc_core_wr_index_5 "cmp_tdc.tdc_core.wr_index(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_RNI8DG2_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6_0_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) (portRef addra_1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_wr_index_6 "cmp_tdc.tdc_core.wr_index(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_RNI9HG2_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6)) (portRef addra_2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_wr_index_7 "cmp_tdc.tdc_core.wr_index(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_RNIALG2_3)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6)) (portRef addra_3 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_wr_index_8 "cmp_tdc.tdc_core.wr_index(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o6_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_RNIBPG2_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6)) (portRef addra_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_wr_index_9 "cmp_tdc.tdc_core.wr_index(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o5_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_RNICTG2_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_0_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_9)) (portRef addra_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_wr_index_10 "cmp_tdc.tdc_core.wr_index(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_RNID1H2_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_0_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6)) (portRef addra_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_wr_index_11 "cmp_tdc.tdc_core.wr_index(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_s_RNO_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6)) (portRef addra_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_wr_index_12 "cmp_tdc.tdc_core.wr_index(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNICM8A_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_12)) )) (net (rename cmp_tdc_tdc_core_wr_index_13 "cmp_tdc.tdc_core.wr_index(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_1)) @@ -62524,8 +60311,8 @@ )) (net (rename cmp_tdc_tdc_core_wr_index_16 "cmp_tdc.tdc_core.wr_index(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNIG69A_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_16)) )) (net (rename cmp_tdc_tdc_core_wr_index_17 "cmp_tdc.tdc_core.wr_index(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_5)) @@ -62535,17 +60322,17 @@ (net (rename cmp_tdc_tdc_core_wr_index_18 "cmp_tdc.tdc_core.wr_index(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNIIE9A_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_18)) )) (net (rename cmp_tdc_tdc_core_wr_index_19 "cmp_tdc.tdc_core.wr_index(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNIJI9A_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_RNIK1QL1_19)) )) (net (rename cmp_tdc_tdc_core_wr_index_20 "cmp_tdc.tdc_core.wr_index(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNIKM9A_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_20)) )) (net (rename cmp_tdc_tdc_core_wr_index_21 "cmp_tdc.tdc_core.wr_index(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_9)) @@ -62560,7 +60347,7 @@ (net (rename cmp_tdc_tdc_core_wr_index_23 "cmp_tdc.tdc_core.wr_index(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNI8NC2_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_23)) )) (net (rename cmp_tdc_tdc_core_wr_index_24 "cmp_tdc.tdc_core.wr_index(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_12)) @@ -62580,12 +60367,12 @@ (net (rename cmp_tdc_tdc_core_wr_index_27 "cmp_tdc.tdc_core.wr_index(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNICNC2_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27)) )) (net (rename cmp_tdc_tdc_core_wr_index_28 "cmp_tdc.tdc_core.wr_index(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_RNIDNC2_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28)) )) (net (rename cmp_tdc_tdc_core_wr_index_29 "cmp_tdc.tdc_core.wr_index(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_17)) @@ -62604,371 +60391,371 @@ )) (net (rename cmp_tdc_tdc_core_local_utc_0 "cmp_tdc.tdc_core.local_utc(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1123_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1246_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_0)) )) (net (rename cmp_tdc_tdc_core_local_utc_1 "cmp_tdc.tdc_core.local_utc(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1124_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1247_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_1)) )) (net (rename cmp_tdc_tdc_core_local_utc_2 "cmp_tdc.tdc_core.local_utc(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1125_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1248_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_2)) )) (net (rename cmp_tdc_tdc_core_local_utc_3 "cmp_tdc.tdc_core.local_utc(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_3)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1126_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1249_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_3)) )) (net (rename cmp_tdc_tdc_core_local_utc_4 "cmp_tdc.tdc_core.local_utc(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_4)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1127_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1250_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_4)) )) (net (rename cmp_tdc_tdc_core_local_utc_5 "cmp_tdc.tdc_core.local_utc(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1128_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1251_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_5)) )) (net (rename cmp_tdc_tdc_core_local_utc_6 "cmp_tdc.tdc_core.local_utc(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_6)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1129_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1252_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_6)) )) (net (rename cmp_tdc_tdc_core_local_utc_7 "cmp_tdc.tdc_core.local_utc(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1130_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1253_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_7)) )) (net (rename cmp_tdc_tdc_core_local_utc_8 "cmp_tdc.tdc_core.local_utc(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1131_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1254_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_8)) )) (net (rename cmp_tdc_tdc_core_local_utc_9 "cmp_tdc.tdc_core.local_utc(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1132_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1255_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_9)) )) (net (rename cmp_tdc_tdc_core_local_utc_10 "cmp_tdc.tdc_core.local_utc(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1256_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_3_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1133_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_i_m3_10)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_10)) )) (net (rename cmp_tdc_tdc_core_local_utc_11 "cmp_tdc.tdc_core.local_utc(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_11)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1134_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1257_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_11)) )) (net (rename cmp_tdc_tdc_core_local_utc_12 "cmp_tdc.tdc_core.local_utc(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_12)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1135_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1258_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_12)) )) (net (rename cmp_tdc_tdc_core_local_utc_13 "cmp_tdc.tdc_core.local_utc(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_13)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1136_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1259_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_13)) )) (net (rename cmp_tdc_tdc_core_local_utc_14 "cmp_tdc.tdc_core.local_utc(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1137_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1260_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_14)) )) (net (rename cmp_tdc_tdc_core_local_utc_15 "cmp_tdc.tdc_core.local_utc(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1138_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1261_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_15)) )) (net (rename cmp_tdc_tdc_core_local_utc_16 "cmp_tdc.tdc_core.local_utc(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o6_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1139_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1262_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_16)) )) (net (rename cmp_tdc_tdc_core_local_utc_17 "cmp_tdc.tdc_core.local_utc(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1140_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1263_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_17)) )) (net (rename cmp_tdc_tdc_core_local_utc_18 "cmp_tdc.tdc_core.local_utc(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_12_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1141_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1264_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_18)) )) (net (rename cmp_tdc_tdc_core_local_utc_19 "cmp_tdc.tdc_core.local_utc(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_19)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1142_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1265_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_19)) )) (net (rename cmp_tdc_tdc_core_local_utc_20 "cmp_tdc.tdc_core.local_utc(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1143_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1266_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_20)) )) (net (rename cmp_tdc_tdc_core_local_utc_21 "cmp_tdc.tdc_core.local_utc(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1144_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1267_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_21)) )) (net (rename cmp_tdc_tdc_core_local_utc_22 "cmp_tdc.tdc_core.local_utc(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_22)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1145_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1268_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_22)) )) (net (rename cmp_tdc_tdc_core_local_utc_23 "cmp_tdc.tdc_core.local_utc(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1269_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1146_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_RNO_23)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_23)) )) (net (rename cmp_tdc_tdc_core_local_utc_24 "cmp_tdc.tdc_core.local_utc(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1147_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1270_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_24)) )) (net (rename cmp_tdc_tdc_core_local_utc_25 "cmp_tdc.tdc_core.local_utc(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_25)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1148_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1271_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_25)) )) (net (rename cmp_tdc_tdc_core_local_utc_26 "cmp_tdc.tdc_core.local_utc(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1149_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1272_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_26)) )) (net (rename cmp_tdc_tdc_core_local_utc_27 "cmp_tdc.tdc_core.local_utc(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1150_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1273_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_27)) )) (net (rename cmp_tdc_tdc_core_local_utc_28 "cmp_tdc.tdc_core.local_utc(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m3_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1151_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1274_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_28)) )) (net (rename cmp_tdc_tdc_core_local_utc_29 "cmp_tdc.tdc_core.local_utc(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_29)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1152_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1275_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_29)) )) (net (rename cmp_tdc_tdc_core_local_utc_30 "cmp_tdc.tdc_core.local_utc(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1153_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1276_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_30)) )) (net (rename cmp_tdc_tdc_core_local_utc_31 "cmp_tdc.tdc_core.local_utc(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1154_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1277_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_0 "cmp_tdc.tdc_core.acam_config_0(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_1 "cmp_tdc.tdc_core.acam_config_0(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_2 "cmp_tdc.tdc_core.acam_config_0(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_3 "cmp_tdc.tdc_core.acam_config_0(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_4 "cmp_tdc.tdc_core.acam_config_0(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_5 "cmp_tdc.tdc_core.acam_config_0(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_6 "cmp_tdc.tdc_core.acam_config_0(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_7 "cmp_tdc.tdc_core.acam_config_0(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_8 "cmp_tdc.tdc_core.acam_config_0(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_9 "cmp_tdc.tdc_core.acam_config_0(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_10 "cmp_tdc.tdc_core.acam_config_0(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_11 "cmp_tdc.tdc_core.acam_config_0(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o5_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_12 "cmp_tdc.tdc_core.acam_config_0(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_13 "cmp_tdc.tdc_core.acam_config_0(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_14 "cmp_tdc.tdc_core.acam_config_0(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_15 "cmp_tdc.tdc_core.acam_config_0(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_16 "cmp_tdc.tdc_core.acam_config_0(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_17 "cmp_tdc.tdc_core.acam_config_0(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_18 "cmp_tdc.tdc_core.acam_config_0(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o6_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_19 "cmp_tdc.tdc_core.acam_config_0(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIUCID_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_20 "cmp_tdc.tdc_core.acam_config_0(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o6_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_21 "cmp_tdc.tdc_core.acam_config_0(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_22 "cmp_tdc.tdc_core.acam_config_0(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIONDU4_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_23 "cmp_tdc.tdc_core.acam_config_0(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_24 "cmp_tdc.tdc_core.acam_config_0(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_24)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_25 "cmp_tdc.tdc_core.acam_config_0(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_26 "cmp_tdc.tdc_core.acam_config_0(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_26)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_27 "cmp_tdc.tdc_core.acam_config_0(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_28 "cmp_tdc.tdc_core.acam_config_0(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28)) )) (net (rename cmp_tdc_tdc_core_acam_config_0_29 "cmp_tdc.tdc_core.acam_config_0(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_29)) @@ -62984,143 +60771,143 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_1_0 "cmp_tdc.tdc_core.acam_config_1(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_1 "cmp_tdc.tdc_core.acam_config_1(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_2 "cmp_tdc.tdc_core.acam_config_1(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_3 "cmp_tdc.tdc_core.acam_config_1(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_4 "cmp_tdc.tdc_core.acam_config_1(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_5 "cmp_tdc.tdc_core.acam_config_1(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_6 "cmp_tdc.tdc_core.acam_config_1(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_7 "cmp_tdc.tdc_core.acam_config_1(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_8 "cmp_tdc.tdc_core.acam_config_1(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_9 "cmp_tdc.tdc_core.acam_config_1(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_10 "cmp_tdc.tdc_core.acam_config_1(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_11 "cmp_tdc.tdc_core.acam_config_1(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_12 "cmp_tdc.tdc_core.acam_config_1(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_13 "cmp_tdc.tdc_core.acam_config_1(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_14 "cmp_tdc.tdc_core.acam_config_1(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_15 "cmp_tdc.tdc_core.acam_config_1(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_16 "cmp_tdc.tdc_core.acam_config_1(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_17 "cmp_tdc.tdc_core.acam_config_1(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_18 "cmp_tdc.tdc_core.acam_config_1(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_19 "cmp_tdc.tdc_core.acam_config_1(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_20 "cmp_tdc.tdc_core.acam_config_1(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_21 "cmp_tdc.tdc_core.acam_config_1(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_22 "cmp_tdc.tdc_core.acam_config_1(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_23 "cmp_tdc.tdc_core.acam_config_1(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_24 "cmp_tdc.tdc_core.acam_config_1(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_25 "cmp_tdc.tdc_core.acam_config_1(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_26 "cmp_tdc.tdc_core.acam_config_1(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_27 "cmp_tdc.tdc_core.acam_config_1(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_28 "cmp_tdc.tdc_core.acam_config_1(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_28)) @@ -63132,151 +60919,151 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_1_30 "cmp_tdc.tdc_core.acam_config_1(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_1_31 "cmp_tdc.tdc_core.acam_config_1(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_0 "cmp_tdc.tdc_core.acam_config_2(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_1 "cmp_tdc.tdc_core.acam_config_2(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_2 "cmp_tdc.tdc_core.acam_config_2(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_3 "cmp_tdc.tdc_core.acam_config_2(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_4 "cmp_tdc.tdc_core.acam_config_2(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_5 "cmp_tdc.tdc_core.acam_config_2(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_6 "cmp_tdc.tdc_core.acam_config_2(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_7 "cmp_tdc.tdc_core.acam_config_2(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o6_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_8 "cmp_tdc.tdc_core.acam_config_2(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_9 "cmp_tdc.tdc_core.acam_config_2(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_10 "cmp_tdc.tdc_core.acam_config_2(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_11 "cmp_tdc.tdc_core.acam_config_2(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_12 "cmp_tdc.tdc_core.acam_config_2(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_13 "cmp_tdc.tdc_core.acam_config_2(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o6_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_14 "cmp_tdc.tdc_core.acam_config_2(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o5_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_15 "cmp_tdc.tdc_core.acam_config_2(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_16 "cmp_tdc.tdc_core.acam_config_2(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_17 "cmp_tdc.tdc_core.acam_config_2(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o6_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_18 "cmp_tdc.tdc_core.acam_config_2(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_19 "cmp_tdc.tdc_core.acam_config_2(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_i_m2_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_20 "cmp_tdc.tdc_core.acam_config_2(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_21 "cmp_tdc.tdc_core.acam_config_2(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_22 "cmp_tdc.tdc_core.acam_config_2(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o6_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_23 "cmp_tdc.tdc_core.acam_config_2(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_24 "cmp_tdc.tdc_core.acam_config_2(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_25 "cmp_tdc.tdc_core.acam_config_2(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o6_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_26 "cmp_tdc.tdc_core.acam_config_2(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_27 "cmp_tdc.tdc_core.acam_config_2(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o5_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_28 "cmp_tdc.tdc_core.acam_config_2(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_28)) @@ -63288,151 +61075,151 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_2_30 "cmp_tdc.tdc_core.acam_config_2(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_2_31 "cmp_tdc.tdc_core.acam_config_2(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_0 "cmp_tdc.tdc_core.acam_config_3(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o5_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_1 "cmp_tdc.tdc_core.acam_config_3(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_2 "cmp_tdc.tdc_core.acam_config_3(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o5_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_3 "cmp_tdc.tdc_core.acam_config_3(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o5_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_4 "cmp_tdc.tdc_core.acam_config_3(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o6_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_5 "cmp_tdc.tdc_core.acam_config_3(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_6 "cmp_tdc.tdc_core.acam_config_3(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_7 "cmp_tdc.tdc_core.acam_config_3(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_8 "cmp_tdc.tdc_core.acam_config_3(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_9 "cmp_tdc.tdc_core.acam_config_3(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_10 "cmp_tdc.tdc_core.acam_config_3(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o6_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_11 "cmp_tdc.tdc_core.acam_config_3(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_12 "cmp_tdc.tdc_core.acam_config_3(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_13 "cmp_tdc.tdc_core.acam_config_3(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o6_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_14 "cmp_tdc.tdc_core.acam_config_3(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_15 "cmp_tdc.tdc_core.acam_config_3(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_16 "cmp_tdc.tdc_core.acam_config_3(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_17 "cmp_tdc.tdc_core.acam_config_3(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_18 "cmp_tdc.tdc_core.acam_config_3(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_19 "cmp_tdc.tdc_core.acam_config_3(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o6_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_20 "cmp_tdc.tdc_core.acam_config_3(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o5_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_21 "cmp_tdc.tdc_core.acam_config_3(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o6_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_22 "cmp_tdc.tdc_core.acam_config_3(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_RNO_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_23 "cmp_tdc.tdc_core.acam_config_3(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_24 "cmp_tdc.tdc_core.acam_config_3(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_25 "cmp_tdc.tdc_core.acam_config_3(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o6_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_26 "cmp_tdc.tdc_core.acam_config_3(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o5_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_27 "cmp_tdc.tdc_core.acam_config_3(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_28 "cmp_tdc.tdc_core.acam_config_3(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_28)) @@ -63444,151 +61231,151 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_3_30 "cmp_tdc.tdc_core.acam_config_3(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_3_31 "cmp_tdc.tdc_core.acam_config_3(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_0 "cmp_tdc.tdc_core.acam_config_4(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_1 "cmp_tdc.tdc_core.acam_config_4(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o5_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_2 "cmp_tdc.tdc_core.acam_config_4(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_3 "cmp_tdc.tdc_core.acam_config_4(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_4 "cmp_tdc.tdc_core.acam_config_4(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_5 "cmp_tdc.tdc_core.acam_config_4(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_6 "cmp_tdc.tdc_core.acam_config_4(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_7 "cmp_tdc.tdc_core.acam_config_4(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_8 "cmp_tdc.tdc_core.acam_config_4(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_9 "cmp_tdc.tdc_core.acam_config_4(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_10 "cmp_tdc.tdc_core.acam_config_4(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_11 "cmp_tdc.tdc_core.acam_config_4(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_12 "cmp_tdc.tdc_core.acam_config_4(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_1_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_13 "cmp_tdc.tdc_core.acam_config_4(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_14 "cmp_tdc.tdc_core.acam_config_4(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_15 "cmp_tdc.tdc_core.acam_config_4(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o5_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_16 "cmp_tdc.tdc_core.acam_config_4(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_17 "cmp_tdc.tdc_core.acam_config_4(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_18 "cmp_tdc.tdc_core.acam_config_4(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_19 "cmp_tdc.tdc_core.acam_config_4(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_20 "cmp_tdc.tdc_core.acam_config_4(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_21 "cmp_tdc.tdc_core.acam_config_4(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_22 "cmp_tdc.tdc_core.acam_config_4(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_23 "cmp_tdc.tdc_core.acam_config_4(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_24 "cmp_tdc.tdc_core.acam_config_4(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_25 "cmp_tdc.tdc_core.acam_config_4(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_26 "cmp_tdc.tdc_core.acam_config_4(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_27 "cmp_tdc.tdc_core.acam_config_4(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_28 "cmp_tdc.tdc_core.acam_config_4(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_28)) @@ -63600,159 +61387,159 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_4_30 "cmp_tdc.tdc_core.acam_config_4(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_4_31 "cmp_tdc.tdc_core.acam_config_4(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_0 "cmp_tdc.tdc_core.acam_config_5(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_1 "cmp_tdc.tdc_core.acam_config_5(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_2 "cmp_tdc.tdc_core.acam_config_5(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIVBHL6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_3 "cmp_tdc.tdc_core.acam_config_5(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o6_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_4 "cmp_tdc.tdc_core.acam_config_5(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_5 "cmp_tdc.tdc_core.acam_config_5(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_6 "cmp_tdc.tdc_core.acam_config_5(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_7 "cmp_tdc.tdc_core.acam_config_5(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_2_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_8 "cmp_tdc.tdc_core.acam_config_5(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_9 "cmp_tdc.tdc_core.acam_config_5(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_9)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_10 "cmp_tdc.tdc_core.acam_config_5(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_11 "cmp_tdc.tdc_core.acam_config_5(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_12 "cmp_tdc.tdc_core.acam_config_5(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_13 "cmp_tdc.tdc_core.acam_config_5(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_14 "cmp_tdc.tdc_core.acam_config_5(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_15 "cmp_tdc.tdc_core.acam_config_5(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_16 "cmp_tdc.tdc_core.acam_config_5(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o5_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_17 "cmp_tdc.tdc_core.acam_config_5(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_18 "cmp_tdc.tdc_core.acam_config_5(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_19 "cmp_tdc.tdc_core.acam_config_5(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_19)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_20 "cmp_tdc.tdc_core.acam_config_5(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_21 "cmp_tdc.tdc_core.acam_config_5(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_22 "cmp_tdc.tdc_core.acam_config_5(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_22)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_23 "cmp_tdc.tdc_core.acam_config_5(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_24 "cmp_tdc.tdc_core.acam_config_5(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_25 "cmp_tdc.tdc_core.acam_config_5(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_25)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_26 "cmp_tdc.tdc_core.acam_config_5(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_26)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_27 "cmp_tdc.tdc_core.acam_config_5(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_27)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_28 "cmp_tdc.tdc_core.acam_config_5(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_28)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_29 "cmp_tdc.tdc_core.acam_config_5(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_29)) )) (net (rename cmp_tdc_tdc_core_acam_config_5_30 "cmp_tdc.tdc_core.acam_config_5(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_30)) @@ -63764,143 +61551,143 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_6_0 "cmp_tdc.tdc_core.acam_config_6(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_1 "cmp_tdc.tdc_core.acam_config_6(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_2 "cmp_tdc.tdc_core.acam_config_6(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_3 "cmp_tdc.tdc_core.acam_config_6(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_4 "cmp_tdc.tdc_core.acam_config_6(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_5 "cmp_tdc.tdc_core.acam_config_6(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_6 "cmp_tdc.tdc_core.acam_config_6(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_7 "cmp_tdc.tdc_core.acam_config_6(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o6_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_8 "cmp_tdc.tdc_core.acam_config_6(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_9 "cmp_tdc.tdc_core.acam_config_6(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_10 "cmp_tdc.tdc_core.acam_config_6(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_11 "cmp_tdc.tdc_core.acam_config_6(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_12 "cmp_tdc.tdc_core.acam_config_6(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_13 "cmp_tdc.tdc_core.acam_config_6(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o6_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_14 "cmp_tdc.tdc_core.acam_config_6(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o5_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_15 "cmp_tdc.tdc_core.acam_config_6(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o5_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_16 "cmp_tdc.tdc_core.acam_config_6(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_17 "cmp_tdc.tdc_core.acam_config_6(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o6_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_18 "cmp_tdc.tdc_core.acam_config_6(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_19 "cmp_tdc.tdc_core.acam_config_6(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_i_m2_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_20 "cmp_tdc.tdc_core.acam_config_6(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_21 "cmp_tdc.tdc_core.acam_config_6(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_22 "cmp_tdc.tdc_core.acam_config_6(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o6_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_23 "cmp_tdc.tdc_core.acam_config_6(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_24 "cmp_tdc.tdc_core.acam_config_6(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_25 "cmp_tdc.tdc_core.acam_config_6(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o6_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_26 "cmp_tdc.tdc_core.acam_config_6(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_27 "cmp_tdc.tdc_core.acam_config_6(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o5_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_28 "cmp_tdc.tdc_core.acam_config_6(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_28)) @@ -63912,159 +61699,159 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_6_30 "cmp_tdc.tdc_core.acam_config_6(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_6_31 "cmp_tdc.tdc_core.acam_config_6(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_0 "cmp_tdc.tdc_core.acam_config_7(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_1 "cmp_tdc.tdc_core.acam_config_7(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_2 "cmp_tdc.tdc_core.acam_config_7(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_3 "cmp_tdc.tdc_core.acam_config_7(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_3)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_4 "cmp_tdc.tdc_core.acam_config_7(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_4)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_5 "cmp_tdc.tdc_core.acam_config_7(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_6 "cmp_tdc.tdc_core.acam_config_7(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_6)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_7 "cmp_tdc.tdc_core.acam_config_7(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_8 "cmp_tdc.tdc_core.acam_config_7(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_9 "cmp_tdc.tdc_core.acam_config_7(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_9)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_10 "cmp_tdc.tdc_core.acam_config_7(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_11 "cmp_tdc.tdc_core.acam_config_7(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_12 "cmp_tdc.tdc_core.acam_config_7(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_13 "cmp_tdc.tdc_core.acam_config_7(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_13)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_14 "cmp_tdc.tdc_core.acam_config_7(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_14)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_15 "cmp_tdc.tdc_core.acam_config_7(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_15)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_16 "cmp_tdc.tdc_core.acam_config_7(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_17 "cmp_tdc.tdc_core.acam_config_7(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_17)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_18 "cmp_tdc.tdc_core.acam_config_7(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_19 "cmp_tdc.tdc_core.acam_config_7(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_19)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_20 "cmp_tdc.tdc_core.acam_config_7(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_20)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_21 "cmp_tdc.tdc_core.acam_config_7(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_22 "cmp_tdc.tdc_core.acam_config_7(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_22)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_23 "cmp_tdc.tdc_core.acam_config_7(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_23)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_24 "cmp_tdc.tdc_core.acam_config_7(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_24)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_25 "cmp_tdc.tdc_core.acam_config_7(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_25)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_26 "cmp_tdc.tdc_core.acam_config_7(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_26)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_27 "cmp_tdc.tdc_core.acam_config_7(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_27)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_28 "cmp_tdc.tdc_core.acam_config_7(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_28)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_29 "cmp_tdc.tdc_core.acam_config_7(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_29)) )) (net (rename cmp_tdc_tdc_core_acam_config_7_30 "cmp_tdc.tdc_core.acam_config_7(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_30)) @@ -64076,143 +61863,143 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_8_0 "cmp_tdc.tdc_core.acam_config_8(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_1 "cmp_tdc.tdc_core.acam_config_8(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_2 "cmp_tdc.tdc_core.acam_config_8(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o5_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_3 "cmp_tdc.tdc_core.acam_config_8(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o5_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_4 "cmp_tdc.tdc_core.acam_config_8(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_5 "cmp_tdc.tdc_core.acam_config_8(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_6 "cmp_tdc.tdc_core.acam_config_8(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_7 "cmp_tdc.tdc_core.acam_config_8(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_8 "cmp_tdc.tdc_core.acam_config_8(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_9 "cmp_tdc.tdc_core.acam_config_8(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_10 "cmp_tdc.tdc_core.acam_config_8(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o5_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_11 "cmp_tdc.tdc_core.acam_config_8(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_12 "cmp_tdc.tdc_core.acam_config_8(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_13 "cmp_tdc.tdc_core.acam_config_8(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o6_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_14 "cmp_tdc.tdc_core.acam_config_8(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_15 "cmp_tdc.tdc_core.acam_config_8(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_16 "cmp_tdc.tdc_core.acam_config_8(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_17 "cmp_tdc.tdc_core.acam_config_8(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_18 "cmp_tdc.tdc_core.acam_config_8(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_19 "cmp_tdc.tdc_core.acam_config_8(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o6_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_20 "cmp_tdc.tdc_core.acam_config_8(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_21 "cmp_tdc.tdc_core.acam_config_8(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_22 "cmp_tdc.tdc_core.acam_config_8(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_RNO_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_23 "cmp_tdc.tdc_core.acam_config_8(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_24 "cmp_tdc.tdc_core.acam_config_8(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_25 "cmp_tdc.tdc_core.acam_config_8(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_26 "cmp_tdc.tdc_core.acam_config_8(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_27 "cmp_tdc.tdc_core.acam_config_8(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_28 "cmp_tdc.tdc_core.acam_config_8(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_28)) @@ -64224,159 +62011,159 @@ )) (net (rename cmp_tdc_tdc_core_acam_config_8_30 "cmp_tdc.tdc_core.acam_config_8(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_30)) )) (net (rename cmp_tdc_tdc_core_acam_config_8_31 "cmp_tdc.tdc_core.acam_config_8(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_31)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_0 "cmp_tdc.tdc_core.acam_config_9(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_0)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_1 "cmp_tdc.tdc_core.acam_config_9(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_2 "cmp_tdc.tdc_core.acam_config_9(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_3 "cmp_tdc.tdc_core.acam_config_9(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_3)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_4 "cmp_tdc.tdc_core.acam_config_9(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_4)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_5 "cmp_tdc.tdc_core.acam_config_9(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_5)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_6 "cmp_tdc.tdc_core.acam_config_9(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_6)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_7 "cmp_tdc.tdc_core.acam_config_9(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_8 "cmp_tdc.tdc_core.acam_config_9(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_9 "cmp_tdc.tdc_core.acam_config_9(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_9)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_10 "cmp_tdc.tdc_core.acam_config_9(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_11 "cmp_tdc.tdc_core.acam_config_9(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_1_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_12 "cmp_tdc.tdc_core.acam_config_9(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_13 "cmp_tdc.tdc_core.acam_config_9(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_13)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_14 "cmp_tdc.tdc_core.acam_config_9(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_14)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_15 "cmp_tdc.tdc_core.acam_config_9(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_15)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_16 "cmp_tdc.tdc_core.acam_config_9(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o5_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_17 "cmp_tdc.tdc_core.acam_config_9(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_17)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_18 "cmp_tdc.tdc_core.acam_config_9(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_19 "cmp_tdc.tdc_core.acam_config_9(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_19)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_20 "cmp_tdc.tdc_core.acam_config_9(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_20)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_21 "cmp_tdc.tdc_core.acam_config_9(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_22 "cmp_tdc.tdc_core.acam_config_9(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_RNO_22)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_23 "cmp_tdc.tdc_core.acam_config_9(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_23)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_24 "cmp_tdc.tdc_core.acam_config_9(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_24)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_25 "cmp_tdc.tdc_core.acam_config_9(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_25)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_26 "cmp_tdc.tdc_core.acam_config_9(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_26)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_27 "cmp_tdc.tdc_core.acam_config_9(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_27)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_28 "cmp_tdc.tdc_core.acam_config_9(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_28)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_29 "cmp_tdc.tdc_core.acam_config_9(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_29)) )) (net (rename cmp_tdc_tdc_core_acam_config_9_30 "cmp_tdc.tdc_core.acam_config_9(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_30)) @@ -64389,150 +62176,150 @@ (net (rename cmp_tdc_tdc_core_acam_config_10_0 "cmp_tdc.tdc_core.acam_config_10(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_0)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_1 "cmp_tdc.tdc_core.acam_config_10(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_2 "cmp_tdc.tdc_core.acam_config_10(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_3 "cmp_tdc.tdc_core.acam_config_10(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_3)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_4 "cmp_tdc.tdc_core.acam_config_10(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_4)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_5 "cmp_tdc.tdc_core.acam_config_10(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_5)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_6 "cmp_tdc.tdc_core.acam_config_10(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_6)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_7 "cmp_tdc.tdc_core.acam_config_10(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_8 "cmp_tdc.tdc_core.acam_config_10(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_9 "cmp_tdc.tdc_core.acam_config_10(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_9)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_10 "cmp_tdc.tdc_core.acam_config_10(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_11 "cmp_tdc.tdc_core.acam_config_10(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_1_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_12 "cmp_tdc.tdc_core.acam_config_10(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_13 "cmp_tdc.tdc_core.acam_config_10(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_13)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_14 "cmp_tdc.tdc_core.acam_config_10(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_14)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_15 "cmp_tdc.tdc_core.acam_config_10(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_15)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_16 "cmp_tdc.tdc_core.acam_config_10(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_17 "cmp_tdc.tdc_core.acam_config_10(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_17)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_18 "cmp_tdc.tdc_core.acam_config_10(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_19 "cmp_tdc.tdc_core.acam_config_10(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_19)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_20 "cmp_tdc.tdc_core.acam_config_10(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_20)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_21 "cmp_tdc.tdc_core.acam_config_10(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_21)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_22 "cmp_tdc.tdc_core.acam_config_10(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_23 "cmp_tdc.tdc_core.acam_config_10(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_23)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_24 "cmp_tdc.tdc_core.acam_config_10(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_24)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_25 "cmp_tdc.tdc_core.acam_config_10(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_25)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_26 "cmp_tdc.tdc_core.acam_config_10(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_26)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_27 "cmp_tdc.tdc_core.acam_config_10(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_27)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_28 "cmp_tdc.tdc_core.acam_config_10(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_28)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_29 "cmp_tdc.tdc_core.acam_config_10(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_29)) )) (net (rename cmp_tdc_tdc_core_acam_config_10_30 "cmp_tdc.tdc_core.acam_config_10(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_30)) @@ -64544,41 +62331,42 @@ )) (net (rename cmp_tdc_tdc_core_activate_acq_p "cmp_tdc.tdc_core.activate_acq_p") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o6_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o6_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_5)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_r_2)) )) (net (rename cmp_tdc_tdc_core_deactivate_acq_p "cmp_tdc.tdc_core.deactivate_acq_p") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o6_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_0)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_2_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0)) )) (net (rename cmp_tdc_tdc_core_load_acam_config "cmp_tdc.tdc_core.load_acam_config") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o6_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o5_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o6_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_5)) )) (net (rename cmp_tdc_tdc_core_read_acam_config "cmp_tdc.tdc_core.read_acam_config") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o5)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_3)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2)) )) (net (rename cmp_tdc_tdc_core_reset_acam "cmp_tdc.tdc_core.reset_acam") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_8)) @@ -64588,23 +62376,32 @@ )) (net (rename cmp_tdc_tdc_core_read_acam_status "cmp_tdc.tdc_core.read_acam_status") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_4)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_1_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1)) )) (net (rename cmp_tdc_tdc_core_read_ififo1 "cmp_tdc.tdc_core.read_ififo1") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o5_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_1_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1)) )) (net (rename cmp_tdc_tdc_core_read_ififo2 "cmp_tdc.tdc_core.read_ififo2") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_6)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_9)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_1_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1)) )) (net (rename cmp_tdc_tdc_core_read_start01 "cmp_tdc.tdc_core.read_start01") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_7)) @@ -64612,311 +62409,312 @@ (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_9)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1)) )) (net (rename cmp_tdc_tdc_core_clear_dacapo_counter "cmp_tdc.tdc_core.clear_dacapo_counter") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o5_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o6)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) )) (net (rename cmp_tdc_tdc_core_load_utc "cmp_tdc.tdc_core.load_utc") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_9)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNI2RKU9_o5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIIR93_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1277_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1276_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1275_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1274_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1273_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1272_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1271_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1270_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1269_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1268_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1267_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1266_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1265_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1264_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1263_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1262_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1261_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1260_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1259_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1258_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1257_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1256_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1255_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1254_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1253_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1252_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1251_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1250_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1249_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1248_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1247_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1246_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1154_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1153_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1152_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1151_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1150_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1149_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1148_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1147_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1146_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1145_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1144_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1143_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1142_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1141_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1140_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1139_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1138_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1137_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1136_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1135_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1134_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1133_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1132_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1131_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1130_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1129_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1128_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1127_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1126_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1125_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1124_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1123_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_0 "cmp_tdc.tdc_core.starting_utc(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1246_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1123_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_1 "cmp_tdc.tdc_core.starting_utc(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1247_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1124_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_2 "cmp_tdc.tdc_core.starting_utc(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1248_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1125_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_3 "cmp_tdc.tdc_core.starting_utc(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1249_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1126_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_4 "cmp_tdc.tdc_core.starting_utc(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1250_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1127_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_5 "cmp_tdc.tdc_core.starting_utc(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1251_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1128_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_6 "cmp_tdc.tdc_core.starting_utc(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1252_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1129_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_7 "cmp_tdc.tdc_core.starting_utc(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1253_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1130_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_8 "cmp_tdc.tdc_core.starting_utc(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1254_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1131_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_9 "cmp_tdc.tdc_core.starting_utc(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1255_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1132_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_10 "cmp_tdc.tdc_core.starting_utc(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1256_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_3_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1133_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_11 "cmp_tdc.tdc_core.starting_utc(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1257_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1134_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_12 "cmp_tdc.tdc_core.starting_utc(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1258_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1135_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_13 "cmp_tdc.tdc_core.starting_utc(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1259_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1136_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_14 "cmp_tdc.tdc_core.starting_utc(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1260_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1137_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_15 "cmp_tdc.tdc_core.starting_utc(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1261_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1138_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_16 "cmp_tdc.tdc_core.starting_utc(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1262_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o6_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1139_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_17 "cmp_tdc.tdc_core.starting_utc(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1263_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1140_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_18 "cmp_tdc.tdc_core.starting_utc(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1264_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_12_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1141_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_19 "cmp_tdc.tdc_core.starting_utc(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_19)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1265_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1142_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_20 "cmp_tdc.tdc_core.starting_utc(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1266_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1143_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_21 "cmp_tdc.tdc_core.starting_utc(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1267_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1144_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_22 "cmp_tdc.tdc_core.starting_utc(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_22)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1268_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1145_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_23 "cmp_tdc.tdc_core.starting_utc(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1269_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1146_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_24 "cmp_tdc.tdc_core.starting_utc(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1270_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1147_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_25 "cmp_tdc.tdc_core.starting_utc(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_25)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1271_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1148_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_26 "cmp_tdc.tdc_core.starting_utc(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1272_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1149_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_27 "cmp_tdc.tdc_core.starting_utc(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1273_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1150_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_28 "cmp_tdc.tdc_core.starting_utc(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1274_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m3_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1151_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_29 "cmp_tdc.tdc_core.starting_utc(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_29)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1275_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1152_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_30 "cmp_tdc.tdc_core.starting_utc(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1276_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1153_b0)) )) (net (rename cmp_tdc_tdc_core_starting_utc_31 "cmp_tdc.tdc_core.starting_utc(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1277_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1154_b0)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_0 "cmp_tdc.tdc_core.irq_tstamp_threshold(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_RNO_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_0)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_1 "cmp_tdc.tdc_core.irq_tstamp_threshold(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_a2_5_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt1)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_2 "cmp_tdc.tdc_core.irq_tstamp_threshold(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_20)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt1)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_3 "cmp_tdc.tdc_core.irq_tstamp_threshold(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_3)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt3)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_4 "cmp_tdc.tdc_core.irq_tstamp_threshold(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_4)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df3)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt3)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_5 "cmp_tdc.tdc_core.irq_tstamp_threshold(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_5)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt5)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_6 "cmp_tdc.tdc_core.irq_tstamp_threshold(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_6)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt5)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_7 "cmp_tdc.tdc_core.irq_tstamp_threshold(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_6)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt7)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_8 "cmp_tdc.tdc_core.irq_tstamp_threshold(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_8)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df7)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt7)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_9 "cmp_tdc.tdc_core.irq_tstamp_threshold(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_9)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_10 "cmp_tdc.tdc_core.irq_tstamp_threshold(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_10)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_11 "cmp_tdc.tdc_core.irq_tstamp_threshold(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_11)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_12 "cmp_tdc.tdc_core.irq_tstamp_threshold(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_1_12)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_13 "cmp_tdc.tdc_core.irq_tstamp_threshold(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_13)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_14 "cmp_tdc.tdc_core.irq_tstamp_threshold(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_14)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_15 "cmp_tdc.tdc_core.irq_tstamp_threshold(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o6_15)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_16 "cmp_tdc.tdc_core.irq_tstamp_threshold(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_17 "cmp_tdc.tdc_core.irq_tstamp_threshold(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_17)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_18 "cmp_tdc.tdc_core.irq_tstamp_threshold(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_19 "cmp_tdc.tdc_core.irq_tstamp_threshold(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_19)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_20 "cmp_tdc.tdc_core.irq_tstamp_threshold(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_20)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_21 "cmp_tdc.tdc_core.irq_tstamp_threshold(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_21)) @@ -64924,27 +62722,27 @@ )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_22 "cmp_tdc.tdc_core.irq_tstamp_threshold(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_22)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_23 "cmp_tdc.tdc_core.irq_tstamp_threshold(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_4)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_24 "cmp_tdc.tdc_core.irq_tstamp_threshold(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_24)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_25 "cmp_tdc.tdc_core.irq_tstamp_threshold(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_25)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_26 "cmp_tdc.tdc_core.irq_tstamp_threshold(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_26)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_27 "cmp_tdc.tdc_core.irq_tstamp_threshold(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_26)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_28 "cmp_tdc.tdc_core.irq_tstamp_threshold(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_28)) @@ -64956,37 +62754,37 @@ )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_30 "cmp_tdc.tdc_core.irq_tstamp_threshold(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_irq_tstamp_threshold_31 "cmp_tdc.tdc_core.irq_tstamp_threshold(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_0 "cmp_tdc.tdc_core.irq_time_threshold(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_0)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt0)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_1 "cmp_tdc.tdc_core.irq_time_threshold(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_2_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt0)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_2 "cmp_tdc.tdc_core.irq_time_threshold(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_2)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt2)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_3 "cmp_tdc.tdc_core.irq_time_threshold(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_3)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt2)) )) @@ -64994,195 +62792,195 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_4)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt4)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_5 "cmp_tdc.tdc_core.irq_time_threshold(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_3_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt4)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_6 "cmp_tdc.tdc_core.irq_time_threshold(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_6)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_2_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt6)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_7 "cmp_tdc.tdc_core.irq_time_threshold(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_6)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_2_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt6)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_8 "cmp_tdc.tdc_core.irq_time_threshold(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_9)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_3_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_8)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt8)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_9 "cmp_tdc.tdc_core.irq_time_threshold(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_9)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_3_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt8)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_10 "cmp_tdc.tdc_core.irq_time_threshold(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_10)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt10)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_11 "cmp_tdc.tdc_core.irq_time_threshold(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o5_20)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_3_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt10)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_12 "cmp_tdc.tdc_core.irq_time_threshold(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_12)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_12)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt12)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_13 "cmp_tdc.tdc_core.irq_time_threshold(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_13)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt12)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_14 "cmp_tdc.tdc_core.irq_time_threshold(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_13)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt14)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_15 "cmp_tdc.tdc_core.irq_time_threshold(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_15)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_15)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt14)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_16 "cmp_tdc.tdc_core.irq_time_threshold(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_16)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt16)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_17 "cmp_tdc.tdc_core.irq_time_threshold(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_17)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt16)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_18 "cmp_tdc.tdc_core.irq_time_threshold(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o6_16)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt18)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_19 "cmp_tdc.tdc_core.irq_time_threshold(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIUCID_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt18)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_20 "cmp_tdc.tdc_core.irq_time_threshold(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o6_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt20)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_21 "cmp_tdc.tdc_core.irq_time_threshold(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt20)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_22 "cmp_tdc.tdc_core.irq_time_threshold(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_17)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt22)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_23 "cmp_tdc.tdc_core.irq_time_threshold(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df22)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt22)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_24 "cmp_tdc.tdc_core.irq_time_threshold(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_24)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_2_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt24)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_25 "cmp_tdc.tdc_core.irq_time_threshold(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_25)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_2_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt24)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_26 "cmp_tdc.tdc_core.irq_time_threshold(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_26)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_26)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt26)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_27 "cmp_tdc.tdc_core.irq_time_threshold(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_27)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_3_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt26)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_28 "cmp_tdc.tdc_core.irq_time_threshold(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_28)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_3_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt28)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_29 "cmp_tdc.tdc_core.irq_time_threshold(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_29)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df28)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_3_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt28)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_30 "cmp_tdc.tdc_core.irq_time_threshold(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_30)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_5_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt30)) )) (net (rename cmp_tdc_tdc_core_irq_time_threshold_31 "cmp_tdc.tdc_core.irq_time_threshold(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_31)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_5_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt30)) )) @@ -65190,18 +62988,18 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o6_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_decr_counting_counter_4_axb_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_0)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_1 "cmp_tdc.tdc_core.pulse_delay(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_decr_counting_counter_4_axb_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_1)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_2 "cmp_tdc.tdc_core.pulse_delay(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_RNI6JHD_2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_decr_counting_counter_4_axb_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_0_2)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_3 "cmp_tdc.tdc_core.pulse_delay(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_3)) @@ -65241,17 +63039,17 @@ (net (rename cmp_tdc_tdc_core_pulse_delay_10 "cmp_tdc.tdc_core.pulse_delay(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_11 "cmp_tdc.tdc_core.pulse_delay(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_11)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_12 "cmp_tdc.tdc_core.pulse_delay(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_12)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_13 "cmp_tdc.tdc_core.pulse_delay(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_13)) @@ -65271,7 +63069,7 @@ (net (rename cmp_tdc_tdc_core_pulse_delay_16 "cmp_tdc.tdc_core.pulse_delay(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_17 "cmp_tdc.tdc_core.pulse_delay(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_17)) @@ -65281,7 +63079,7 @@ (net (rename cmp_tdc_tdc_core_pulse_delay_18 "cmp_tdc.tdc_core.pulse_delay(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_18)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_19 "cmp_tdc.tdc_core.pulse_delay(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_19)) @@ -65306,7 +63104,7 @@ (net (rename cmp_tdc_tdc_core_pulse_delay_23 "cmp_tdc.tdc_core.pulse_delay(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_23)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_24 "cmp_tdc.tdc_core.pulse_delay(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_24)) @@ -65330,13 +63128,13 @@ )) (net (rename cmp_tdc_tdc_core_pulse_delay_28 "cmp_tdc.tdc_core.pulse_delay(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_28)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m3_28)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_29 "cmp_tdc.tdc_core.pulse_delay(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_29)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_29)) )) (net (rename cmp_tdc_tdc_core_pulse_delay_30 "cmp_tdc.tdc_core.pulse_delay(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_30)) @@ -65346,29 +63144,29 @@ (net (rename cmp_tdc_tdc_core_pulse_delay_31 "cmp_tdc.tdc_core.pulse_delay(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_31)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_31)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_0 "cmp_tdc.tdc_core.acam_inputs_en(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_25)) (portRef D (instanceRef cmp_tdc_tdc_core_term_en_1_o)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_1 "cmp_tdc.tdc_core.acam_inputs_en(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_1)) (portRef D (instanceRef cmp_tdc_tdc_core_term_en_2_o)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_2 "cmp_tdc.tdc_core.acam_inputs_en(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_2)) (portRef D (instanceRef cmp_tdc_tdc_core_term_en_3_o)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_3 "cmp_tdc.tdc_core.acam_inputs_en(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_3)) (portRef D (instanceRef cmp_tdc_tdc_core_term_en_4_o)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_4 "cmp_tdc.tdc_core.acam_inputs_en(4)") (joined @@ -65378,99 +63176,99 @@ )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_5 "cmp_tdc.tdc_core.acam_inputs_en(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_5)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_6 "cmp_tdc.tdc_core.acam_inputs_en(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_9)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_7 "cmp_tdc.tdc_core.acam_inputs_en(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7)) (portRef D (instanceRef cmp_tdc_tdc_core_enable_inputs_o)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_8 "cmp_tdc.tdc_core.acam_inputs_en(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_8)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_9 "cmp_tdc.tdc_core.acam_inputs_en(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_9)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_10 "cmp_tdc.tdc_core.acam_inputs_en(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_10)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_11 "cmp_tdc.tdc_core.acam_inputs_en(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_0_11)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_12 "cmp_tdc.tdc_core.acam_inputs_en(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_12)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_13 "cmp_tdc.tdc_core.acam_inputs_en(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_13)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_14 "cmp_tdc.tdc_core.acam_inputs_en(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_14)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_15 "cmp_tdc.tdc_core.acam_inputs_en(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_15)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_16 "cmp_tdc.tdc_core.acam_inputs_en(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_17 "cmp_tdc.tdc_core.acam_inputs_en(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_17)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_18 "cmp_tdc.tdc_core.acam_inputs_en(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_19 "cmp_tdc.tdc_core.acam_inputs_en(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_19)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_20 "cmp_tdc.tdc_core.acam_inputs_en(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_20)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_21 "cmp_tdc.tdc_core.acam_inputs_en(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_20)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_22 "cmp_tdc.tdc_core.acam_inputs_en(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_22)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_23 "cmp_tdc.tdc_core.acam_inputs_en(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_9)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_24 "cmp_tdc.tdc_core.acam_inputs_en(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_24)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_25 "cmp_tdc.tdc_core.acam_inputs_en(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_25)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_26 "cmp_tdc.tdc_core.acam_inputs_en(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_26)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_27 "cmp_tdc.tdc_core.acam_inputs_en(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_26)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_28 "cmp_tdc.tdc_core.acam_inputs_en(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_28)) @@ -65482,27 +63280,27 @@ )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_30 "cmp_tdc.tdc_core.acam_inputs_en(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_31)) )) (net (rename cmp_tdc_tdc_core_acam_inputs_en_31 "cmp_tdc.tdc_core.acam_inputs_en(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_31)) )) (net (rename cmp_tdc_tdc_core_window_delay_0 "cmp_tdc.tdc_core.window_delay(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_0)) )) (net (rename cmp_tdc_tdc_core_window_delay_1 "cmp_tdc.tdc_core.window_delay(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_1)) )) (net (rename cmp_tdc_tdc_core_window_delay_2 "cmp_tdc.tdc_core.window_delay(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNIJAC4_2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_decr_counting_counter_4_axb_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_0_2)) )) (net (rename cmp_tdc_tdc_core_window_delay_3 "cmp_tdc.tdc_core.window_delay(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_3)) @@ -65542,17 +63340,17 @@ (net (rename cmp_tdc_tdc_core_window_delay_10 "cmp_tdc.tdc_core.window_delay(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10)) )) (net (rename cmp_tdc_tdc_core_window_delay_11 "cmp_tdc.tdc_core.window_delay(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_11)) )) (net (rename cmp_tdc_tdc_core_window_delay_12 "cmp_tdc.tdc_core.window_delay(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_12)) )) (net (rename cmp_tdc_tdc_core_window_delay_13 "cmp_tdc.tdc_core.window_delay(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_13)) @@ -65572,7 +63370,7 @@ (net (rename cmp_tdc_tdc_core_window_delay_16 "cmp_tdc.tdc_core.window_delay(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16)) )) (net (rename cmp_tdc_tdc_core_window_delay_17 "cmp_tdc.tdc_core.window_delay(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_17)) @@ -65582,7 +63380,7 @@ (net (rename cmp_tdc_tdc_core_window_delay_18 "cmp_tdc.tdc_core.window_delay(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_18)) )) (net (rename cmp_tdc_tdc_core_window_delay_19 "cmp_tdc.tdc_core.window_delay(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_19)) @@ -65607,7 +63405,7 @@ (net (rename cmp_tdc_tdc_core_window_delay_23 "cmp_tdc.tdc_core.window_delay(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_23)) )) (net (rename cmp_tdc_tdc_core_window_delay_24 "cmp_tdc.tdc_core.window_delay(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_24)) @@ -65631,13 +63429,13 @@ )) (net (rename cmp_tdc_tdc_core_window_delay_28 "cmp_tdc.tdc_core.window_delay(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_28)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_28)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m3_28)) )) (net (rename cmp_tdc_tdc_core_window_delay_29 "cmp_tdc.tdc_core.window_delay(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_29)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_29)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_29)) )) (net (rename cmp_tdc_tdc_core_window_delay_30 "cmp_tdc.tdc_core.window_delay(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_30)) @@ -65647,44 +63445,44 @@ (net (rename cmp_tdc_tdc_core_window_delay_31 "cmp_tdc.tdc_core.window_delay(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_31)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_axb_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_31)) )) (net (rename cmp_tdc_tdc_core_one_hz_p "cmp_tdc.tdc_core.one_hz_p") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_is_zero_o)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o5_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o6_16)) (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o5)) (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_10)) (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_17)) (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_28)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) (portRef R (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_31)) (portRef R (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_30)) @@ -65893,38 +63691,8 @@ )) (net (rename cmp_tdc_tdc_core_acam_intflag_f_edge_p "cmp_tdc.tdc_core.acam_intflag_f_edge_p") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8)) (portRef R (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_31)) (portRef R (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_30)) (portRef R (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_29)) @@ -65960,13 +63728,12 @@ )) (net (rename cmp_tdc_tdc_core_acam_ef1 "cmp_tdc.tdc_core.acam_ef1") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ef1_synch_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o5_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_formatting_acam_fifo_ef_3_i_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_2_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o5_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_31)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_31)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_31)) @@ -65982,20 +63749,19 @@ (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_31)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_31)) )) - (net (rename cmp_tdc_tdc_core_N_2561_i "cmp_tdc.tdc_core.N_2561_i") (joined + (net (rename cmp_tdc_tdc_core_N_2821_i "cmp_tdc.tdc_core.N_2821_i") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ef1_synch_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ef1_synch_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_o2_2)) )) (net (rename cmp_tdc_tdc_core_acam_ef2 "cmp_tdc.tdc_core.acam_ef2") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ef2_synch_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_formatting_acam_fifo_ef_3_i_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_2_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o5_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_o2_2)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_30)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_30)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_30)) @@ -66011,59 +63777,20 @@ (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_30)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_30)) )) - (net (rename cmp_tdc_tdc_core_N_2563_i "cmp_tdc.tdc_core.N_2563_i") (joined + (net (rename cmp_tdc_tdc_core_N_2823_i "cmp_tdc.tdc_core.N_2823_i") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ef2_synch_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o6_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o5_2)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ef2_synch_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0)) )) (net (rename cmp_tdc_tdc_core_roll_over_incr_recent "cmp_tdc.tdc_core.roll_over_incr_recent") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO)) + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNI023A1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_15_RNIS4311)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_9_RNI223A1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_12_RNIMO211)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) (portRef dina_102 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_clk_i_cycles_offset_0 "cmp_tdc.tdc_core.clk_i_cycles_offset(0)") (joined @@ -66228,134 +63955,134 @@ )) (net (rename cmp_tdc_tdc_core_roll_over_nb_0 "cmp_tdc.tdc_core.roll_over_nb(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_1 "cmp_tdc.tdc_core.roll_over_nb(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_sf)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_1)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_2 "cmp_tdc.tdc_core.roll_over_nb(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_2_sf)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_2)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_3 "cmp_tdc.tdc_core.roll_over_nb(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_3_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_11)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_3)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_4 "cmp_tdc.tdc_core.roll_over_nb(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_4_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12_RNO)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_4)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_5 "cmp_tdc.tdc_core.roll_over_nb(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_5_sf)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_13)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_5)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_6 "cmp_tdc.tdc_core.roll_over_nb(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_6_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_6)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_7 "cmp_tdc.tdc_core.roll_over_nb(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_7_sf)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_7)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_8 "cmp_tdc.tdc_core.roll_over_nb(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNI023A1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_8)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_9 "cmp_tdc.tdc_core.roll_over_nb(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_9)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_9_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_9_RNI223A1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_9)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_10 "cmp_tdc.tdc_core.roll_over_nb(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_10_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_10)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_11 "cmp_tdc.tdc_core.roll_over_nb(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_11_sf)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58_RNO)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_11)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_12 "cmp_tdc.tdc_core.roll_over_nb(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_12_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_12_RNIMO211)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_12)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_13 "cmp_tdc.tdc_core.roll_over_nb(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_13_sf)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_13)) )) @@ -66363,128 +64090,125 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_14_sf)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_14)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_15 "cmp_tdc.tdc_core.roll_over_nb(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_15_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_15_RNIS4311)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_15)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_16 "cmp_tdc.tdc_core.roll_over_nb(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_16_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIB8VF_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_16)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_17 "cmp_tdc.tdc_core.roll_over_nb(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_17_sf)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIB8VF_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_17)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_18 "cmp_tdc.tdc_core.roll_over_nb(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_18_sf)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_19 "cmp_tdc.tdc_core.roll_over_nb(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_19)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_19_sf)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_20 "cmp_tdc.tdc_core.roll_over_nb(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_20_sf)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_21 "cmp_tdc.tdc_core.roll_over_nb(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_21_sf)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_22 "cmp_tdc.tdc_core.roll_over_nb(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_22)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_22_sf)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_23 "cmp_tdc.tdc_core.roll_over_nb(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_23_RNO)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_24 "cmp_tdc.tdc_core.roll_over_nb(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OUF_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_25 "cmp_tdc.tdc_core.roll_over_nb(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OUF_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_26 "cmp_tdc.tdc_core.roll_over_nb(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_27 "cmp_tdc.tdc_core.roll_over_nb(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_28 "cmp_tdc.tdc_core.roll_over_nb(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_29 "cmp_tdc.tdc_core.roll_over_nb(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_29)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_30 "cmp_tdc.tdc_core.roll_over_nb(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI3OTF_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_31 "cmp_tdc.tdc_core.roll_over_nb(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI3OTF_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_0 "cmp_tdc.tdc_core.retrig_nb_offset(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_0_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_0)) (portRef dina_104 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -66492,8 +64216,8 @@ (net (rename cmp_tdc_tdc_core_retrig_nb_offset_1 "cmp_tdc.tdc_core.retrig_nb_offset(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_1)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_1)) (portRef dina_105 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -66501,8 +64225,8 @@ (net (rename cmp_tdc_tdc_core_retrig_nb_offset_2 "cmp_tdc.tdc_core.retrig_nb_offset(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_2)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_2)) (portRef dina_106 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -66510,8 +64234,8 @@ (net (rename cmp_tdc_tdc_core_retrig_nb_offset_3 "cmp_tdc.tdc_core.retrig_nb_offset(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_3)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_3)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_3)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_3)) (portRef dina_107 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -66519,8 +64243,8 @@ (net (rename cmp_tdc_tdc_core_retrig_nb_offset_4 "cmp_tdc.tdc_core.retrig_nb_offset(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_4)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_4)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_4)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_4)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_4)) (portRef dina_108 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -66528,16 +64252,16 @@ (net (rename cmp_tdc_tdc_core_retrig_nb_offset_5 "cmp_tdc.tdc_core.retrig_nb_offset(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_5)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_5)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_5)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_5)) (portRef dina_109 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_6 "cmp_tdc.tdc_core.retrig_nb_offset(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o6)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_6)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_6)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_6)) @@ -66545,8 +64269,8 @@ )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_7 "cmp_tdc.tdc_core.retrig_nb_offset(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o6)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_7)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_7)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_7)) @@ -66556,22 +64280,24 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_8)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_8)) (portRef dina_112 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_9 "cmp_tdc.tdc_core.retrig_nb_offset(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_9)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_9)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_9)) (portRef dina_113 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_10 "cmp_tdc.tdc_core.retrig_nb_offset(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_10)) (portRef dina_114 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -66579,7 +64305,8 @@ (net (rename cmp_tdc_tdc_core_retrig_nb_offset_11 "cmp_tdc.tdc_core.retrig_nb_offset(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_11)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_11)) (portRef dina_115 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -66587,115 +64314,112 @@ (net (rename cmp_tdc_tdc_core_retrig_nb_offset_12 "cmp_tdc.tdc_core.retrig_nb_offset(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_12)) (portRef dina_116 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_13 "cmp_tdc.tdc_core.retrig_nb_offset(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_13)) (portRef dina_117 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_14 "cmp_tdc.tdc_core.retrig_nb_offset(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_14)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_14)) (portRef dina_118 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_15 "cmp_tdc.tdc_core.retrig_nb_offset(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_15)) (portRef dina_119 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_16 "cmp_tdc.tdc_core.retrig_nb_offset(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_16)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_17 "cmp_tdc.tdc_core.retrig_nb_offset(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_17)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_17)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_17)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_18 "cmp_tdc.tdc_core.retrig_nb_offset(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_18)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_19 "cmp_tdc.tdc_core.retrig_nb_offset(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_19)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_19)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_19)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_20 "cmp_tdc.tdc_core.retrig_nb_offset(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_20)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_20)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_21 "cmp_tdc.tdc_core.retrig_nb_offset(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_21)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_22 "cmp_tdc.tdc_core.retrig_nb_offset(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_22)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_22)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_23 "cmp_tdc.tdc_core.retrig_nb_offset(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_23)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_23)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_23)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_24 "cmp_tdc.tdc_core.retrig_nb_offset(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_24)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_24)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_24)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_25 "cmp_tdc.tdc_core.retrig_nb_offset(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_25)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_25)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_26 "cmp_tdc.tdc_core.retrig_nb_offset(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_26)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82)) )) (net (rename cmp_tdc_tdc_core_retrig_nb_offset_27 "cmp_tdc.tdc_core.retrig_nb_offset(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_27)) @@ -66724,15 +64448,17 @@ )) (net (rename cmp_tdc_tdc_core_circ_buff_class_ack "cmp_tdc.tdc_core.circ_buff_class_ack") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p_RNO)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_we "cmp_tdc.tdc_core.circ_buff_class_we") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o6)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p_RNO)) (portRef wea_0 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) (portRef ena (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) @@ -66758,37 +64484,37 @@ )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_4 "cmp_tdc.tdc_core.circ_buff_class_data_wr(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10)) (portRef dina_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_5 "cmp_tdc.tdc_core.circ_buff_class_data_wr(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10)) (portRef dina_5 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_6 "cmp_tdc.tdc_core.circ_buff_class_data_wr(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10)) (portRef dina_6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_7 "cmp_tdc.tdc_core.circ_buff_class_data_wr(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10)) (portRef dina_7 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_8 "cmp_tdc.tdc_core.circ_buff_class_data_wr(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10_1)) (portRef dina_8 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_9 "cmp_tdc.tdc_core.circ_buff_class_data_wr(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10_1)) (portRef dina_9 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_10 "cmp_tdc.tdc_core.circ_buff_class_data_wr(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10_1)) (portRef dina_10 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_11 "cmp_tdc.tdc_core.circ_buff_class_data_wr(11)") (joined @@ -66803,22 +64529,22 @@ )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_13 "cmp_tdc.tdc_core.circ_buff_class_data_wr(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto16_2)) (portRef dina_13 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_14 "cmp_tdc.tdc_core.circ_buff_class_data_wr(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto16_2)) (portRef dina_14 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_15 "cmp_tdc.tdc_core.circ_buff_class_data_wr(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto16_2)) (portRef dina_15 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_16 "cmp_tdc.tdc_core.circ_buff_class_data_wr(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fine_timestamp_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto16_2)) (portRef dina_16 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_39 "cmp_tdc.tdc_core.circ_buff_class_data_wr(39)") (joined @@ -67071,11 +64797,10 @@ )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_120 "cmp_tdc.tdc_core.circ_buff_class_data_wr(120)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_start_nb_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_0_RNO)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_0)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_0)) (portRef dina_120 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) @@ -67083,8 +64808,8 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_start_nb_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_1)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNI214L_0)) (portRef dina_121 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) @@ -67094,8 +64819,8 @@ (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_3)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_10)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_2)) (portRef dina_122 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) @@ -67104,19 +64829,19 @@ (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_3)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_4)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) (portRef dina_123 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_124 "cmp_tdc.tdc_core.circ_buff_class_data_wr(124)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_start_nb_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) (portRef dina_124 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_125 "cmp_tdc.tdc_core.circ_buff_class_data_wr(125)") (joined @@ -67124,87 +64849,119 @@ (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_5)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) (portRef dina_125 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_126 "cmp_tdc.tdc_core.circ_buff_class_data_wr(126)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_start_nb_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_6)) (portRef dina_126 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) (net (rename cmp_tdc_tdc_core_circ_buff_class_data_wr_127 "cmp_tdc.tdc_core.circ_buff_class_data_wr(127)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_start_nb_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26_0_lut6_2_o6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIJ7CR_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIE33P_7)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_0)) (portRef dina_127 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_overZ0 "cmp_tdc.tdc_core.data_formatting_block.un6_un_current_retrig_from_roll_over") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58_RNO)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) + (net (rename cmp_tdc_tdc_core_N_399_i "cmp_tdc.tdc_core.N_399_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_0)) + )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over "cmp_tdc.tdc_core.data_formatting_block.un6_un_current_retrig_from_roll_over") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_1 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(1)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_2 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(2)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_3 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(3)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_11)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_4 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(4)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_5 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(5)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_13)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_6 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(6)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_7 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(7)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_7)) @@ -67213,32 +64970,31 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_8 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(8)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNI023A1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_9 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(9)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_9_RNI223A1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_10 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(10)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_11 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(11)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_12 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(12)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_12_RNIMO211)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_13 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(13)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) )) @@ -67249,24 +65005,22 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_15 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(15)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_15_RNIS4311)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_16 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(16)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_17 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(17)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_25)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_18 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(18)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_26)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_19 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over(19)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_19)) @@ -67290,7 +65044,7 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_16 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(16)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_17 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(17)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_2)) @@ -67310,7 +65064,7 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_21 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(21)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_22 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(22)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_7)) @@ -67318,11 +65072,11 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_23 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(23)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_24 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(24)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_25 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(25)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_10)) @@ -67330,11 +65084,11 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_26 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(26)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_27 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(27)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_28 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(28)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_13)) @@ -67346,11 +65100,11 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_30 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(30)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_31 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(31)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_32 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb(32)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_17)) @@ -67382,8 +65136,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_4 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_4)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_5 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(5)") (joined @@ -67394,20 +65148,21 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_6 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_6)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_7 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIJ7CR_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIE33P_7)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_36_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIE33P_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8_RNO)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_9)) @@ -67417,8 +65172,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_10 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_10)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_11 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(11)") (joined @@ -67429,8 +65184,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_12 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_12)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_13 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(13)") (joined @@ -67441,8 +65196,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_14)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(15)") (joined @@ -67459,8 +65214,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_17)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_17)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(18)") (joined @@ -67471,20 +65226,20 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_19)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_20)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_21)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offsetZ0Z_22 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset(22)") (joined @@ -67510,88 +65265,87 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_0 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_0)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_1 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_1)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_2 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_3 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_3)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_4 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_4)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_5 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_5)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_6 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_6)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_7 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_7)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_8 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_8)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_9 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_10 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_10)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_11 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_11)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_12 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_12)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_13 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_13)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_14 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_14)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_15 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_15)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_16 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nb_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_16)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_roll_over_nbZ0Z_17 "cmp_tdc.tdc_core.data_formatting_block.un_previous_roll_over_nb(17)") (joined @@ -67632,11 +65386,11 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_8 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_8)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_9 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_10 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_10)) @@ -67656,7 +65410,7 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_14 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_14)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_15 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_15)) @@ -67668,7 +65422,7 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_17 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_17)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_18 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_18)) @@ -67676,11 +65430,11 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_19 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_19)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_20 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_20)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_21 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_21)) @@ -67692,11 +65446,11 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_23 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_23)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_24 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_24)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offsetZ0Z_25 "cmp_tdc.tdc_core.data_formatting_block.un_previous_retrig_nb_offset(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_previous_retrig_nb_offset_25)) @@ -67744,7 +65498,7 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_previous_utcZ0Z_10 "cmp_tdc.tdc_core.data_formatting_block.previous_utc(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_i_m3_10)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_previous_utcZ0Z_11 "cmp_tdc.tdc_core.data_formatting_block.previous_utc(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_11)) @@ -67796,7 +65550,7 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_previous_utcZ0Z_23 "cmp_tdc.tdc_core.data_formatting_block.previous_utc(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_RNO_23)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_previous_utcZ0Z_24 "cmp_tdc.tdc_core.data_formatting_block.previous_utc(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_previous_utc_24)) @@ -67992,7 +65746,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_channel_0)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i "cmp_tdc.tdc_core.data_formatting_block.tstamp_wr_wb_adr.un3_rst_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o5_10)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un3_rst_i_lut6_2_o6)) (portRef R (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_7)) (portRef R (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_6)) (portRef R (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_5)) @@ -68072,8 +65826,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_10 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_4)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_11 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(11)") (joined @@ -68084,13 +65838,13 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_12 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_6)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_13 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIJ7CR_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIE33P_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_7)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_14 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(14)") (joined @@ -68107,8 +65861,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_16 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_10)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_17 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(17)") (joined @@ -68119,8 +65873,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_18 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_12)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_19 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(19)") (joined @@ -68131,8 +65885,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_20 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_14)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_21 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(21)") (joined @@ -68149,8 +65903,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_23 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_17)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_24 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(24)") (joined @@ -68161,20 +65915,20 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_25 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_19)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_26 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_20)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_27 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_21)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offsetZ0Z_28 "cmp_tdc.tdc_core.data_formatting_block.un_clk_i_cycles_offset(28)") (joined @@ -68200,21 +65954,20 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIJ7CR_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_36_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_8)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_9)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_10 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_10)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_10)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_11 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(11)") (joined @@ -68225,8 +65978,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_12 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_13)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_12)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_13 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(13)") (joined @@ -68237,8 +65990,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_14)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_14)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(15)") (joined @@ -68255,8 +66008,8 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_17)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_17)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(18)") (joined @@ -68267,20 +66020,20 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_19)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_20)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_21)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_overZ0Z_22 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over(22)") (joined @@ -68306,114 +66059,114 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_83)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_i_m3_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_RNO_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_0 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(0)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0)) @@ -68447,14 +66200,6 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_7)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_7)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_8)) - )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_9)) - )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_11 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(11)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_11)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_11)) @@ -68463,14 +66208,30 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_13)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(14)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_14)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(15)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_15)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(17)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_17)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(18)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_18)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(19)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_19)) + )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(20)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_20)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(21)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_21)) @@ -68479,6 +66240,14 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_22)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_23 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(23)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_23)) + )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_24 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(24)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_24)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3Z0Z_25 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_nb_offset_3(25)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_25)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_25)) @@ -68523,10 +66292,6 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_9)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_9)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3Z0Z_10 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_10)) - )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3Z0Z_11 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3(11)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_11)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_11)) @@ -68575,10 +66340,6 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_22)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_22)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3Z0Z_23 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_23)) - )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3Z0Z_24 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.local_utc_3(24)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_24)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_24)) @@ -68739,14 +66500,6 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_31)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_clk_i_cycles_offset_31)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_8 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_8)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_8)) - )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_9 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_9)) - )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_11 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(11)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_11)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11)) @@ -68755,14 +66508,30 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_13)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_13)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_14 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(14)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_14)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_15 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(15)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_15)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_17 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(17)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_17)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_18 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(18)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_18)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_19 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(19)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_19)) + )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_20 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(20)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_20)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_21 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(21)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_21)) @@ -68771,441 +66540,432 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_22)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_23 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(23)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_23)) + )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_24 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(24)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_24)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_25 "cmp_tdc.tdc_core.data_formatting_block.un_retrig_from_roll_over_11(25)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_25)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_0 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_0)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_3 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_2_1)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_4 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_4)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_5 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_5)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_6 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_6)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_7 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_7)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_0 "cmp_tdc.tdc_core.data_engine_block.engine_st(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o6_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_srsts_0_a3_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o5_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o6_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIHA7O6_0)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_7 "cmp_tdc.tdc_core.data_engine_block.engine_st(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o6_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o6_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o5_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_8 "cmp_tdc.tdc_core.data_engine_block.engine_st(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o5_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o6_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o5_8)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_formatting_acam_fifo_ef_3_i_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_3 "cmp_tdc.tdc_core.data_engine_block.engine_st(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o5_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o6_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_6 "cmp_tdc.tdc_core.data_engine_block.engine_st(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_srsts_0_a3_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o5_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o6_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o6_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_1)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_5 "cmp_tdc.tdc_core.data_engine_block.engine_st(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_1)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_10 "cmp_tdc.tdc_core.data_engine_block.engine_st(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o6_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_srsts_0_a3_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_2_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o5_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNI2D3M_9)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_9 "cmp_tdc.tdc_core.data_engine_block.engine_st(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o6_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o5_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o6_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o5_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_srsts_0_a3_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o5_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIQC2N_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNI2D3M_9)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_2 "cmp_tdc.tdc_core.data_engine_block.engine_st(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_2 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_2_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_cZ0Z_1 "cmp_tdc.tdc_core.data_engine_block.config_adr_c(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_4 "cmp_tdc.tdc_core.data_engine_block.engine_st(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_2)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_stZ0Z_1 "cmp_tdc.tdc_core.data_engine_block.engine_st(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_2)) (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxaZ0 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_2_sqmuxa") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_0)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_0)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_0)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_0 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1155_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_1 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNILP2P_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o6_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1156_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_3)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_1)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_2 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNILP2P_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o6_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1157_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_3)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_2)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_3 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPP2P_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1158_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_3)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_4 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPP2P_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1159_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_4)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_5 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNITP2P_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o6_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1160_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_5)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_6 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNITP2P_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o6_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1161_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_6)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_7 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI1Q2P_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o6_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1162_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_7)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_8 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI1Q2P_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o5_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o6_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1163_N_6_i)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_8)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_9 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o5_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1164_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_9)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_10 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1165_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_10)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_11 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1166_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_11)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_12 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1167_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_12)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_13 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIRGJ1_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1168_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_13)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_14 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIRGJ1_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1169_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_14)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_15 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1170_N_6_i)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_15)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_16 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI19K1_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1171_N_6_i)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_16)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_17 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI19K1_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1172_N_6_i)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_17)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_18 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI5PK1_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o5_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1173_N_6_i)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_18)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_19 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI5PK1_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o5_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o6_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1174_N_6_i)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_19)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_20 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNINOI1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1175_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNII163_20)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_20)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_21 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNINOI1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1176_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNII163_20)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_21)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_22 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIR8J1_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1177_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNII163_20)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_22)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_23 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIR8J1_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1178_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNII163_20)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_23)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_24 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIVOJ1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1179_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIGRD2_24)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_24)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_25 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIVOJ1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1180_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIGRD2_24)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_25)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_26 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI39K1_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1181_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIGRD2_24)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_26)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_27 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI39K1_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1182_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_27)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_28 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI7PK1_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1183_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_28)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_29 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI7PK1_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1184_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_29)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_30 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPOI1_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1185_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_30)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_cycles_31 "cmp_tdc.tdc_core.start_retrigger_block.current_cycles(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPOI1_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1186_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_clk_i_cycles_offset_31)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_p "cmp_tdc.tdc_core.start_retrigger_block.retrig_p") (joined @@ -69214,268 +66974,208 @@ )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_0 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNITV0F_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1081_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_1 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_1)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_2 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_2)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_3 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_3)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_4 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_4)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_5 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI201F_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_963_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_5)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_6 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIO6UM_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o5_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o6_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_6)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_7 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIO6UM_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o5_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o6_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_7)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_8 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_8)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_9 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0)) (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNITV0F_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1090_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI201F_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_967_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_9)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_10 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIO6UM_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o5_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_10)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_11 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIO6UM_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o5_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_11)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_12 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIRMT7_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIQTRF_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_12)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_13 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIRMT7_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIQTRF_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_13)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_14 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIQTRF_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_14)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_15 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_RNO)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIQTRF_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_15)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_16 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_16)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_17 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_17)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_18 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o5_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI77V7_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2_RNO)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_18)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_19 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o5_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI77V7_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2_RNO)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_19)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_20 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o5_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o6_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_20)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_21 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o5_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o6_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_21)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_22 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_22)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_23 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_23)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_24 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_24)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_25 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_25)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_26 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_26)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_27 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_27)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_28 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_28)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_29 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_29)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_30 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_30)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_current_retrig_nb_31 "cmp_tdc.tdc_core.start_retrigger_block.current_retrig_nb(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1)) (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1)) (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_offset_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_un1_total_delay_3 "cmp_tdc.tdc_core.one_second_block.un1_total_delay(3)") (joined @@ -69603,194 +67303,194 @@ (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_0 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1310_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1187_m2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o_e)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_1 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRGOQ_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRGOQ_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_3 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV0PQ_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_4 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV0PQ_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_5 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3HPQ_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_6 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3HPQ_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_7 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI71QQ_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_8 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_8)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI71QQ_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_9 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIE01L_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_10 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIE01L_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_11 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNILJ7F_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_12 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNILJ7F_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_13 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPJ7F_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_14 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_14)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPJ7F_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_15 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1325_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITJ7F_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_16 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVJ7F_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITJ7F_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_17 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVJ7F_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1K7F_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_18 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3K7F_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1K7F_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_19 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3K7F_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUN7F_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_20 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPR7F_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUN7F_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_21 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPR7F_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRR7F_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_22 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITR7F_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRR7F_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_23 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITR7F_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVR7F_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_24 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1S7F_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVR7F_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_25 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1S7F_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3S7F_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_26 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI5S7F_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3S7F_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_27 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI5S7F_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI7S7F_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_28 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI9S7F_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI7S7F_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_29 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI9S7F_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI408F_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_30 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV38F_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI408F_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_o_31 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter_counter_o(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV38F_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1218_N_6_i)) )) (net (rename cmp_tdc_tdc_core_one_second_block_one_hz_p_pre "cmp_tdc.tdc_core.one_second_block.one_hz_p_pre") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o)) @@ -69896,7 +67596,7 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o5_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_733_cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_738_cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_31)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_29)) @@ -69932,87 +67632,87 @@ (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_1 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIG8KB_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_2 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIHCKB_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_3 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIGKB_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_4 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIJKKB_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_5 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIKOKB_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_6 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNILSKB_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_7 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIM0LB_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_8 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIN4LB_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_9 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_9)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO8LB_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_10 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIOOB3_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_11 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPOB3_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_12 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIQOB3_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_13 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIROB3_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_14 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISOB3_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_15 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNITOB3_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINEHA4_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_16 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIUOB3_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNITHN6_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_17 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIVOB3_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNITHN6_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_18 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_18)) @@ -70067,22 +67767,22 @@ (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_28 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_28)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI3TB3_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI7QN6_28)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_29 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_29)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI4TB3_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI7QN6_28)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_30 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIU0C3_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNID6HA4_30)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_o_31 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter_counter_o(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_31)) (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_s_RNO_31)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_ctrl_regZ0Z_11 "cmp_tdc.tdc_core.reg_control_block.ctrl_reg(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_11)) @@ -70114,924 +67814,1012 @@ )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_0 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o5_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o6_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o5_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o6_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o6_3)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o5_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o6_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o5_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o6_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o5_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o6_15)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_29)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_16)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_16)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_20)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_15)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_4)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_18)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_5)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_20)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_6)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_10)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_25)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_11)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_31)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_23)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_3)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_13)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_26)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI0ERQ6_0)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_21)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_22)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_29)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_29)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_30)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_24)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_27)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_8)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_16)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_19)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_27)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_30)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_6_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_9)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_24)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_18)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_31)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_9)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_16)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_26)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_21)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_8)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_2)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_a2_5_1)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNINLV56_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_3_i_o2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIUCID_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_8_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_1_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_1_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_12)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_9)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_31)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_28)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_28)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_30)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_29)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_0_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m3_28)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_26)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_10)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_RNO_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_31)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_22)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIF8PC1)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_1 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o5_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o6_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o5_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o6_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o5_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o6_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o5_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o6_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o5_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o6_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o5_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o6_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o6_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o5_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o6_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_8)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_13)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_14)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_10)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_17)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_19)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_7)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_16)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_1)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_9)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_20)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_17)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_12)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_23)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_31)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_30)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_6)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_0)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_0)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_26)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_25)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_21)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_18)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_15)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_11)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_6)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_4)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_23)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_22)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_20)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_a2_5_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_3_i_o2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNI72N01_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIBERK_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_8_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_1_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_12)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_22)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_30)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_9)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_17)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_27)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_22)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_27)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_31)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_31)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_28)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_30)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_0_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m3_28)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_29)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_31)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_0_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_RNIK1QL1_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIF8PC1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_3)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_2 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_5)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_7)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o5_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o6_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o5_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o6_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o5_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o6_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_10)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o5_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o6_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o5_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o6_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o5_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o6_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o5_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o6_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o5_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o6_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_20)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_31)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_31)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_30)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_30)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_i_m2_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_8_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_12)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_15)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_20)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_6)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_RNIK1QL1_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIF8PC1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_RNIE7RQ3_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_10)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_3 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o5_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o6_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_9)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_4)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o5_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o6_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o5_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o6_16)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_6)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o5_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o6_19)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_20)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIH78E4_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_3_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m3_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_12_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_RNO_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_15)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o5_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_5 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o5_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o6_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o6_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o5)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_31)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIMGQ01_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_a2_5_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3)) (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_1_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_12)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_28)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_22)) (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_0_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_15)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIMGQ01_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI5BSL_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0Z0Z_7 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIMGQ01_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI5BSL_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regZ0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_reg") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNI2RKU9_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNI2RKU9_o6)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_11)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_8)) @@ -71047,7 +68835,7 @@ )) (net (rename cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_ack_o_pipeZ0Z0 "cmp_tdc.tdc_core.reg_control_block.tdc_config_wb_ack_o_pipe0") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_ack_o_pipe0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_1") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_1)) @@ -71095,51 +68883,51 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_12 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_12") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_13 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_13") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_14 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_14") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_15 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_15") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_16 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_16") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_17 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_17") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_18 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_18") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5_3)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_19 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_19") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5_3)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_20 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_20") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_3)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_21 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_21") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_3)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_22 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_22") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_3)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_23 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_23") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_3)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_24 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_24") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_24)) @@ -71167,11 +68955,11 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_30 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_30") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5_2)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_31 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_31") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_s_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5_2)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_nss_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_nss(0)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) @@ -71186,11 +68974,11 @@ (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_8)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_nss_3 "cmp_tdc.tdc_core.data_engine_block.engine_st_nss(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_7)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_7)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_nss_4 "cmp_tdc.tdc_core.data_engine_block.engine_st_nss(4)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o5)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_6)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_nss_5 "cmp_tdc.tdc_core.data_engine_block.engine_st_nss(5)") (joined @@ -71202,11 +68990,11 @@ (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_4)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_nss_7 "cmp_tdc.tdc_core.data_engine_block.engine_st_nss(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o5_3)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_3)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_3)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_nss_8 "cmp_tdc.tdc_core.data_engine_block.engine_st_nss(8)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o5)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_2)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_nss_9 "cmp_tdc.tdc_core.data_engine_block.engine_st_nss(9)") (joined @@ -71217,55 +69005,16 @@ (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_0)) )) - (net (rename cmp_tdc_tdc_core_one_second_block_local_utce "cmp_tdc.tdc_core.one_second_block.local_utce") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_29)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_28)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_0)) - )) - (net (rename cmp_tdc_tdc_core_N_587 "cmp_tdc.tdc_core.N_587") (joined + (net (rename cmp_tdc_tdc_core_N_589 "cmp_tdc.tdc_core.N_589") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_0 "cmp_tdc.tdc_core.reg_control_block.dat_out(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_0)) - )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_1 "cmp_tdc.tdc_core.reg_control_block.dat_out(1)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_1)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_2 "cmp_tdc.tdc_core.reg_control_block.dat_out(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_2)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_3 "cmp_tdc.tdc_core.reg_control_block.dat_out(3)") (joined @@ -71273,7 +69022,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_3)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_4 "cmp_tdc.tdc_core.reg_control_block.dat_out(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_4)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_5 "cmp_tdc.tdc_core.reg_control_block.dat_out(5)") (joined @@ -71281,24 +69030,24 @@ (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_5)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_6 "cmp_tdc.tdc_core.reg_control_block.dat_out(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_6)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_7 "cmp_tdc.tdc_core.reg_control_block.dat_out(7)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_7)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_8 "cmp_tdc.tdc_core.reg_control_block.dat_out(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_8 "cmp_tdc.tdc_core.reg_control_block.dat_out(8)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_8)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_9 "cmp_tdc.tdc_core.reg_control_block.dat_out(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_9)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_10 "cmp_tdc.tdc_core.reg_control_block.dat_out(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_10)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_11 "cmp_tdc.tdc_core.reg_control_block.dat_out(11)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_11)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_12 "cmp_tdc.tdc_core.reg_control_block.dat_out(12)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) @@ -71316,67 +69065,51 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_15)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_16 "cmp_tdc.tdc_core.reg_control_block.dat_out(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_16)) - )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_17 "cmp_tdc.tdc_core.reg_control_block.dat_out(17)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_17)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_18 "cmp_tdc.tdc_core.reg_control_block.dat_out(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_18)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_18)) - )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_19 "cmp_tdc.tdc_core.reg_control_block.dat_out(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_19)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_20 "cmp_tdc.tdc_core.reg_control_block.dat_out(20)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_20)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_21 "cmp_tdc.tdc_core.reg_control_block.dat_out(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_21)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_22 "cmp_tdc.tdc_core.reg_control_block.dat_out(22)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_22)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_23 "cmp_tdc.tdc_core.reg_control_block.dat_out(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_23)) - )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_24 "cmp_tdc.tdc_core.reg_control_block.dat_out(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_24 "cmp_tdc.tdc_core.reg_control_block.dat_out(24)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_24)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_24)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_25 "cmp_tdc.tdc_core.reg_control_block.dat_out(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_25)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_26 "cmp_tdc.tdc_core.reg_control_block.dat_out(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_26)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_27 "cmp_tdc.tdc_core.reg_control_block.dat_out(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_27 "cmp_tdc.tdc_core.reg_control_block.dat_out(27)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_27)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_27)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_28 "cmp_tdc.tdc_core.reg_control_block.dat_out(28)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_28)) - )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29 "cmp_tdc.tdc_core.reg_control_block.dat_out(29)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_29)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_29)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_30 "cmp_tdc.tdc_core.reg_control_block.dat_out(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_30)) )) (net (rename cmp_tdc_tdc_core_reg_control_block_dat_outZ0Z_31 "cmp_tdc.tdc_core.reg_control_block.dat_out(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_31)) )) - (net (rename cmp_tdc_tdc_core_N_1884 "cmp_tdc.tdc_core.N_1884") (joined + (net (rename cmp_tdc_tdc_core_N_1886 "cmp_tdc.tdc_core.N_1886") (joined (portRef O6 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we)) )) @@ -71424,6 +69157,10 @@ (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_88)) (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_83)) )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_N_7 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_N_7") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_N_21 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_N_21") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_59)) @@ -71440,10 +69177,6 @@ (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_42)) (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_35)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_N_49 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_N_49") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27)) - )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_N_56 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_N_56") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_19)) @@ -71458,211 +69191,199 @@ )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_0 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o5_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o5_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o6_16)) (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_10)) (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_17)) (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_cry_0_RNO)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI248B_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI388B_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4C8B_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI5G8B_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIB4HM_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_5 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI6K8B_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIB4HM_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_6 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI7O8B_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_7 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI8S8B_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_8 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI909B_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_9 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIA49B_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNICH5H_10)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_10 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI2DS5_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNICH5H_10)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_11 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI3DS5_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_12 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4DS5_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_13 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI5DS5_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_7)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI6DS5_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIDQOB_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_15 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI7DS5_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIDQOB_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI8DS5_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIHQOB_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_17 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI9DS5_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIHQOB_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_18 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIADS5_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_19 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIBDS5_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGUOB_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_20 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI5HS5_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGUOB_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_21 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI6HS5_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNID2PB_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_22 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI7HS5_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNID2PB_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_23 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI8HS5_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIH2PB_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_24 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI9HS5_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIH2PB_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_25)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAHS5_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_26 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_26)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIBHS5_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_27 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_27)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNICHS5_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_28 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_28)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIDHS5_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_29 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_29)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIEHS5_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_30 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI8LS5_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_o_31 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter_counter_o(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31)) @@ -71673,30 +69394,13 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o)) (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o6)) )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig4_o_1 "cmp_tdc.tdc_core.TDCboard_leds.lad_1to5_outputs.tdc_led_trig4_o_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o5)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig4_o)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1 "cmp_tdc.tdc_core.TDCboard_leds.lad_1to5_outputs.tdc_led_trig3_o_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig3_o_1_lut6_2_o6)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig3_o)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_lad_1to5_outputs_tdc_led_trig2_o_1 "cmp_tdc.tdc_core.TDCboard_leds.lad_1to5_outputs.tdc_led_trig2_o_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_N_42 "cmp_tdc.tdc_core.TDCboard_leds.N_42") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o6)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_status_o_oreg)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_status_o)) - )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(1)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o6_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_1)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(2)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_2)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(3)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_3)) @@ -71728,19 +69432,19 @@ )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_10 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(10)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_10)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_11 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(11)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_11)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_12 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(12)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_12)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_13 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(13)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_13)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_14 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(14)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_14)) @@ -71748,15 +69452,15 @@ )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_15 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(15)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_15)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_16 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(16)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o6_16)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_17 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(17)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_17)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_18 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(18)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_18)) @@ -71776,7 +69480,7 @@ )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_22 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(22)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_22)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_23 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(23)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_23)) @@ -71784,47 +69488,35 @@ )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_24 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(24)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_24)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_25 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(25)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_25)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_26 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(26)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_26)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_27 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(27)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_27)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_28 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(28)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_28)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_29 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(29)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_29)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_30 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(30)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_30)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_31 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.decr_counting.counter_3(31)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_31)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_103 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_103") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o5_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_104 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_104") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o6_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_105 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_105") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_2)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_31)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_108 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_108") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) @@ -71846,540 +69538,550 @@ (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_9)) )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_113 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_113") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_114 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_114") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_115 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_115") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12)) - )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_116 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_116") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_13)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13)) - )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_117 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_117") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_14)) )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_118 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_118") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_15)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15)) - )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_119 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_119") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_16)) + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o6_16)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_16)) )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_120 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_120") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17)) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_125 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_125") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_22)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_127 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_127") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_24)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_128 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_128") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_25)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_25)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_129 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_129") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_26)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_26)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_130 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_130") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_27)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_27)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_131 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_131") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_28)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_132 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_132") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_29)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_29)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_133 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_133") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_30)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30)) + )) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_N_134 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.N_134") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_31)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31)) )) (net (rename cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_stZ0Z_0 "cmp_tdc.tdc_core.circular_buffer_block.tstamp_rd_wb_st(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_0 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o6_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1145_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI39BH_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1022_m1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o6_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI92JB_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1146_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI39BH_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1023_m1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_2 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o6_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1147_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI39BH_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1024_m1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_3 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o6_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1148_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1025_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt3)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_4 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o6_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1149_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1026_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt3)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_5 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o6_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1150_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIBAJB_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1027_m1)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt5)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_6 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o6_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o6_0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1151_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIBAJB_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1028_m1)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt5)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_7 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o5_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o6_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1152_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1029_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt7)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_c_8 "cmp_tdc.tdc_core.interrupts_generator.tstamps_c(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o5_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_df7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_thru_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI92JB_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1028_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1027_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1024_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1023_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1022_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_lt7)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_0 "cmp_tdc.tdc_core.interrupts_generator.time_c(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI7S71_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_1 "cmp_tdc.tdc_core.interrupts_generator.time_c(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI7S71_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_2 "cmp_tdc.tdc_core.interrupts_generator.time_c(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_3 "cmp_tdc.tdc_core.interrupts_generator.time_c(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_3)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_4 "cmp_tdc.tdc_core.interrupts_generator.time_c(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_5 "cmp_tdc.tdc_core.interrupts_generator.time_c(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_5)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_6 "cmp_tdc.tdc_core.interrupts_generator.time_c(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt6)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_7 "cmp_tdc.tdc_core.interrupts_generator.time_c(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_7)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt6)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_8 "cmp_tdc.tdc_core.interrupts_generator.time_c(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt8)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_9 "cmp_tdc.tdc_core.interrupts_generator.time_c(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_9)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt8)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_10 "cmp_tdc.tdc_core.interrupts_generator.time_c(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt10)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_11 "cmp_tdc.tdc_core.interrupts_generator.time_c(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_11)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt10)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_12 "cmp_tdc.tdc_core.interrupts_generator.time_c(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt12)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_13 "cmp_tdc.tdc_core.interrupts_generator.time_c(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_13)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt12)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_14 "cmp_tdc.tdc_core.interrupts_generator.time_c(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIH3RF_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt14)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_15 "cmp_tdc.tdc_core.interrupts_generator.time_c(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_15)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIH3RF_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt14)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_16 "cmp_tdc.tdc_core.interrupts_generator.time_c(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNILJRF_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt16)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_17 "cmp_tdc.tdc_core.interrupts_generator.time_c(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_17)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNILJRF_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt16)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_18 "cmp_tdc.tdc_core.interrupts_generator.time_c(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt18)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_19 "cmp_tdc.tdc_core.interrupts_generator.time_c(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_19)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df18)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt18)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_20 "cmp_tdc.tdc_core.interrupts_generator.time_c(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_20)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df20)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt20)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_21 "cmp_tdc.tdc_core.interrupts_generator.time_c(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_21)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df20)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt20)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_22 "cmp_tdc.tdc_core.interrupts_generator.time_c(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_22)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df22)) (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt22)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_23 "cmp_tdc.tdc_core.interrupts_generator.time_c(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_23)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df22)) (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt22)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_24 "cmp_tdc.tdc_core.interrupts_generator.time_c(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_24)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df24)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt24)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_25 "cmp_tdc.tdc_core.interrupts_generator.time_c(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_25)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df24)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt24)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_26 "cmp_tdc.tdc_core.interrupts_generator.time_c(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_26)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df26)) (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt26)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_27 "cmp_tdc.tdc_core.interrupts_generator.time_c(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_27)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df26)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt26)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_28 "cmp_tdc.tdc_core.interrupts_generator.time_c(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_28)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df28)) (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt28)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_29 "cmp_tdc.tdc_core.interrupts_generator.time_c(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_29)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df28)) (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt28)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_30 "cmp_tdc.tdc_core.interrupts_generator.time_c(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNID3QF_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt30)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_c_31 "cmp_tdc.tdc_core.interrupts_generator.time_c(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_31)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_df30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNID3QF_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_lt30)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNINILI_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNINILI_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_3 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_4 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0_RNO_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNITILI_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_5 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNITILI_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_6 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNI1JLI_6)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_7 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNI1JLI_6)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_8 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_9 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_9)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_10 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_9)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_11 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIPHAK_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_9)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_12 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIPHAK_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_9)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_13 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_14 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o5_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_15 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o5_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_16 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_17 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_18 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_19 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_20 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_3)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_21 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_3)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_22 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_23 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_24 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_25 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_26 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_27 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_28 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_29 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_30 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_o_31 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter_counter_o(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1_4)) )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_one_ms_passed_p "cmp_tdc.tdc_core.interrupts_generator.one_ms_passed_p") (joined + (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_oZ0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c") (joined (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_5_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_time_c") (joined (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_cry_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stZ0Z_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stZ0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o5_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o6_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cryZ0Z_0 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.un1_tstamps_c_cry(0)") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_0)) @@ -72618,7 +70320,7 @@ (portRef DI (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_time_c_cry_30)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_countere "cmp_tdc.tdc_core.interrupts_generator.time_counter.countere") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0)) + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2)) (portRef CE (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_29)) @@ -72653,7 +70355,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_countere "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.countere") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o5)) (portRef CE (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_8)) (portRef CE (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_7)) (portRef CE (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_6)) @@ -72666,72 +70368,77 @@ )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_stZ0Z_1 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_stZ0Z_6 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_srsts_0_a3_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o5_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_5)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_stZ0Z_5 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_4)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_stZ0Z_4 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_stZ0Z_3 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o6_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o5_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o5_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o6_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o5_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o6_8)) (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_formatting_acam_fifo_ef_3_i_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_o2_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIQC2N_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_stZ0Z_2 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_stZ0Z_0 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o5_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o6_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o5_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o5_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o6_8)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_we_RNIIF1F1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_formatting_acam_fifo_ef_3_i_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc)) - )) - (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_nss_0 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_nss(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_2_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_o2_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIQC2N_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_nss_4 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_nss(4)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o5)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNI344I1_o5_6)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_2)) )) (net (rename cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_nss_1 "cmp_tdc.tdc_core.acam_data_block.ACAM_data_st_nss(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_srsts_0_a3_5)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_5)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_5)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_3 "cmp_tdc.tdc_core.acam_timing_block.un1_total_delay(3)") (joined @@ -72854,7 +70561,7 @@ (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_765_cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_770_cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_31)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_29)) @@ -72890,109 +70597,112 @@ (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI542E_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIQ89O1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_2 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI682E_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIQ89O1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_3 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI7C2E_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIQ89O1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI29AO1_3)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_4 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI8G2E_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIQ89O1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI29AO1_3)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_5 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_5)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI9K2E_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIA9BO1_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI29AO1_3)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_6 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIAO2E_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIA9BO1_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI29AO1_3)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_7 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_7)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIBS2E_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIA9BO1_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIV3FA1_10)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_8 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_8)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIC03E_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIA9BO1_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIV3FA1_10)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_9 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_9)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNID43E_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI1DLE_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIV3FA1_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_10 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_10)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIR26_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI1DLE_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIV3FA1_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_11 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_11)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIS26_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI1DLE_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIMBO_11)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_12 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_12)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIT26_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI1DLE_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIMBO_11)) (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_3_0_2)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_13 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_13)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIU26_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIMBO_11)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_14 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_14)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIV26_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIMBO_11)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_15 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_15)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI036_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_16 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_16)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI136_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_17 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_17)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI236_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_1)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_18 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_18)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI336_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_19 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_19)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI436_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_20 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_20)) @@ -73027,40 +70737,37 @@ (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_26 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_26)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI476_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_27 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_27)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI576_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_28 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_28)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI676_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_29 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_29)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI776_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_30 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI1B6_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_o_31 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter_counter_o(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268)) (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_s_RNO_31)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_start "cmp_tdc.tdc_core.acam_timing_block.window_start") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o6_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o6)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_start_trig_receivedZ0 "cmp_tdc.tdc_core.acam_timing_block.start_trig_received") (joined @@ -73070,169 +70777,259 @@ )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_0 "cmp_tdc.tdc_core.acam_timing_block.counter_value(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1049_m1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1P1V_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_926_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_oc)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_1 "cmp_tdc.tdc_core.acam_timing_block.counter_value(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1050_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1P1V_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o5_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o6_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_927_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_oc)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_2 "cmp_tdc.tdc_core.acam_timing_block.counter_value(2)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1051_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1P1V_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o6_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_928_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_oc)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_3 "cmp_tdc.tdc_core.acam_timing_block.counter_value(3)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1052_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRGMK_3)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_4 "cmp_tdc.tdc_core.acam_timing_block.counter_value(4)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1053_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRGMK_3)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_5 "cmp_tdc.tdc_core.acam_timing_block.counter_value(5)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1054_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI22D91_5)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_6 "cmp_tdc.tdc_core.acam_timing_block.counter_value(6)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1055_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI22D91_5)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_7 "cmp_tdc.tdc_core.acam_timing_block.counter_value(7)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1056_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI22D91_5)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_8 "cmp_tdc.tdc_core.acam_timing_block.counter_value(8)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1057_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI22D91_5)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_9 "cmp_tdc.tdc_core.acam_timing_block.counter_value(9)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1058_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIEQEE_10)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_10 "cmp_tdc.tdc_core.acam_timing_block.counter_value(10)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1059_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIEQEE_10)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_11 "cmp_tdc.tdc_core.acam_timing_block.counter_value(11)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1060_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_12 "cmp_tdc.tdc_core.acam_timing_block.counter_value(12)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1061_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_13 "cmp_tdc.tdc_core.acam_timing_block.counter_value(13)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3ALK_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1062_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNITV78_13)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_14 "cmp_tdc.tdc_core.acam_timing_block.counter_value(14)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3ALK_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1063_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNITV78_13)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_15 "cmp_tdc.tdc_core.acam_timing_block.counter_value(15)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIUR78_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1064_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1G88_15)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_16 "cmp_tdc.tdc_core.acam_timing_block.counter_value(16)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3ALK_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1065_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1G88_15)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_17 "cmp_tdc.tdc_core.acam_timing_block.counter_value(17)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3ALK_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1066_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI5098_17)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_18 "cmp_tdc.tdc_core.acam_timing_block.counter_value(18)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3ALK_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1067_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI5098_17)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_19 "cmp_tdc.tdc_core.acam_timing_block.counter_value(19)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI0888_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1068_m1)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_20 "cmp_tdc.tdc_core.acam_timing_block.counter_value(20)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI0888_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1069_m1)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_21 "cmp_tdc.tdc_core.acam_timing_block.counter_value(21)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1070_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRF78_21)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_22 "cmp_tdc.tdc_core.acam_timing_block.counter_value(22)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1071_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRF78_21)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_23 "cmp_tdc.tdc_core.acam_timing_block.counter_value(23)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1072_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIVV78_23)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_24 "cmp_tdc.tdc_core.acam_timing_block.counter_value(24)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1073_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIVV78_23)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_25 "cmp_tdc.tdc_core.acam_timing_block.counter_value(25)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1074_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3G88_25)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_26 "cmp_tdc.tdc_core.acam_timing_block.counter_value(26)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1075_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3G88_25)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_27 "cmp_tdc.tdc_core.acam_timing_block.counter_value(27)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1076_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_28 "cmp_tdc.tdc_core.acam_timing_block.counter_value(28)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1077_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_29 "cmp_tdc.tdc_core.acam_timing_block.counter_value(29)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1078_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_30 "cmp_tdc.tdc_core.acam_timing_block.counter_value(30)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1079_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_counter_value_31 "cmp_tdc.tdc_core.acam_timing_block.counter_value(31)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIUR78_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1080_m1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_err_flag_synchZ0Z_1 "cmp_tdc.tdc_core.acam_timing_block.err_flag_synch(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_err_flag_synch_1)) @@ -73245,9 +71042,39 @@ )) (net (rename cmp_tdc_tdc_core_acam_timing_block_int_flag_synchZ0Z_1 "cmp_tdc.tdc_core.acam_timing_block.int_flag_synch(1)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_int_flag_synch_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2)) (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1162_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1163_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1177_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1170_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1172_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1175_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1176_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1178_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1168_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1183_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1161_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1169_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1174_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1171_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1185_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1186_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1157_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1159_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1160_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1165_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1155_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1156_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1158_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1184_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1164_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1166_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1167_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1173_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1179_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1181_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1182_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1180_N_6_i)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_int_flag_synch_0)) )) @@ -73261,9 +71088,39 @@ )) (net (rename cmp_tdc_tdc_core_acam_timing_block_int_flag_synchZ0Z_0 "cmp_tdc.tdc_core.acam_timing_block.int_flag_synch(0)") (joined (portRef Q (instanceRef cmp_tdc_tdc_core_acam_timing_block_int_flag_synch_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1162_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1163_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1177_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1170_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1172_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1175_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1176_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1178_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1168_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1183_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1161_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1169_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1174_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1171_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1185_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1186_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1157_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1159_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1160_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1165_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1155_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1156_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1158_m2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1184_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1164_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1166_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1167_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1173_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1179_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1181_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1182_N_6_i)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1180_N_6_i)) (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_int_flag_synchZ0Z_2 "cmp_tdc.tdc_core.acam_timing_block.int_flag_synch(2)") (joined @@ -73540,16 +71397,16 @@ )) (net (rename cmp_tdc_tdc_core_reg_control_block_TDCconfig_ack_generator_tdc_config_wb_ack_o_pipe0_1 "cmp_tdc.tdc_core.reg_control_block.TDCconfig_ack_generator.tdc_config_wb_ack_o_pipe0_1") (joined (portRef O (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_m4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_m29)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_m10_3)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_ack_o_pipe0)) )) (net (rename cmp_tdc_tdc_core_N_16_0 "cmp_tdc.tdc_core.N_16_0") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_ack_o)) )) (net (rename cmp_tdc_tdc_core_N_35_0 "cmp_tdc.tdc_core.N_35_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_29)) @@ -73584,7 +71441,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_0)) )) (net (rename cmp_tdc_tdc_core_N_36_0 "cmp_tdc.tdc_core.N_36_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_29)) @@ -73618,8 +71475,8 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_0)) )) - (net (rename cmp_tdc_tdc_core_N_2291 "cmp_tdc.tdc_core.N_2291") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6)) + (net (rename cmp_tdc_tdc_core_N_2293 "cmp_tdc.tdc_core.N_2293") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_29)) @@ -73653,8 +71510,8 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_0)) )) - (net (rename cmp_tdc_tdc_core_N_2293 "cmp_tdc.tdc_core.N_2293") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIHRL37)) + (net (rename cmp_tdc_tdc_core_N_2295 "cmp_tdc.tdc_core.N_2295") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o5)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_29)) @@ -73688,8 +71545,8 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_0)) )) - (net (rename cmp_tdc_tdc_core_N_2295 "cmp_tdc.tdc_core.N_2295") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o5)) + (net (rename cmp_tdc_tdc_core_N_2297 "cmp_tdc.tdc_core.N_2297") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_0)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_29)) @@ -73723,8 +71580,8 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_0)) )) - (net (rename cmp_tdc_tdc_core_N_2299 "cmp_tdc.tdc_core.N_2299") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2)) + (net (rename cmp_tdc_tdc_core_N_2301 "cmp_tdc.tdc_core.N_2301") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_29)) @@ -73758,8 +71615,8 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_0)) )) - (net (rename cmp_tdc_tdc_core_N_53 "cmp_tdc.tdc_core.N_53") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0)) + (net (rename cmp_tdc_tdc_core_N_2303 "cmp_tdc.tdc_core.N_2303") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_29)) @@ -73794,7 +71651,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_0)) )) (net (rename cmp_tdc_tdc_core_N_56 "cmp_tdc.tdc_core.N_56") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNILGG88)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_29)) @@ -73829,7 +71686,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_0)) )) (net (rename cmp_tdc_tdc_core_N_58 "cmp_tdc.tdc_core.N_58") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_23)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_22)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_21)) @@ -73856,7 +71713,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_0)) )) (net (rename cmp_tdc_tdc_core_N_59 "cmp_tdc.tdc_core.N_59") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_29)) @@ -73891,7 +71748,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_0)) )) (net (rename cmp_tdc_tdc_core_N_60 "cmp_tdc.tdc_core.N_60") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_29)) @@ -73926,7 +71783,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_0)) )) (net (rename cmp_tdc_tdc_core_N_61 "cmp_tdc.tdc_core.N_61") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_29)) @@ -73961,7 +71818,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_0)) )) (net (rename cmp_tdc_tdc_core_N_63 "cmp_tdc.tdc_core.N_63") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_29)) @@ -73996,7 +71853,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_0)) )) (net (rename cmp_tdc_tdc_core_N_65 "cmp_tdc.tdc_core.N_65") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_29)) @@ -74031,7 +71888,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_0)) )) (net (rename cmp_tdc_tdc_core_N_66 "cmp_tdc.tdc_core.N_66") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_29)) @@ -74066,7 +71923,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_0)) )) (net (rename cmp_tdc_tdc_core_N_67 "cmp_tdc.tdc_core.N_67") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o6)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o6)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_29)) @@ -74101,7 +71958,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_0)) )) (net (rename cmp_tdc_tdc_core_N_68 "cmp_tdc.tdc_core.N_68") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_29)) @@ -74136,7 +71993,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_0)) )) (net (rename cmp_tdc_tdc_core_N_69 "cmp_tdc.tdc_core.N_69") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_29)) @@ -74170,118 +72027,455 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_0)) )) - (net (rename cmp_tdc_tdc_core_N_2462 "cmp_tdc.tdc_core.N_2462") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_31)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_31)) - )) - (net (rename cmp_tdc_tdc_core_N_2463 "cmp_tdc.tdc_core.N_2463") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_30)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_30)) - )) - (net (rename cmp_tdc_tdc_core_N_2464 "cmp_tdc.tdc_core.N_2464") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_29)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_29)) - )) - (net (rename cmp_tdc_tdc_core_N_2465 "cmp_tdc.tdc_core.N_2465") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_28)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_28)) + (net (rename cmp_tdc_tdc_core_N_3_0 "cmp_tdc.tdc_core.N_3_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_9cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_9cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_0)) )) - (net (rename cmp_tdc_tdc_core_N_2466 "cmp_tdc.tdc_core.N_2466") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_27)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_27)) + (net (rename cmp_tdc_tdc_core_N_6_0 "cmp_tdc.tdc_core.N_6_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_5cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_5cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_0)) )) - (net (rename cmp_tdc_tdc_core_N_2467 "cmp_tdc.tdc_core.N_2467") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_26)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_26)) + (net (rename cmp_tdc_tdc_core_N_7_0 "cmp_tdc.tdc_core.N_7_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_7cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_7cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_0)) )) - (net (rename cmp_tdc_tdc_core_N_2468 "cmp_tdc.tdc_core.N_2468") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_25)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_25)) + (net (rename cmp_tdc_tdc_core_N_8_0 "cmp_tdc.tdc_core.N_8_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_10cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_10cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_0)) )) - (net (rename cmp_tdc_tdc_core_N_2469 "cmp_tdc.tdc_core.N_2469") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_24)) + (net (rename cmp_tdc_tdc_core_N_2396 "cmp_tdc.tdc_core.N_2396") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_4cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_4cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_0)) )) - (net (rename cmp_tdc_tdc_core_N_2471 "cmp_tdc.tdc_core.N_2471") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_22)) - (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_22)) + (net (rename cmp_tdc_tdc_core_N_2670 "cmp_tdc.tdc_core.N_2670") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_i_m3_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_10)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_0_sqmuxa "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_is_zero_o_0_sqmuxa") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o)) )) - (net (rename cmp_tdc_tdc_core_N_2551 "cmp_tdc.tdc_core.N_2551") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o5_10)) + (net (rename cmp_tdc_tdc_core_N_2814 "cmp_tdc.tdc_core.N_2814") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_7)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_channel_2)) )) - (net (rename cmp_tdc_tdc_core_N_2558 "cmp_tdc.tdc_core.N_2558") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_formatting_acam_fifo_ef_3_i_m2)) + (net (rename cmp_tdc_tdc_core_N_2818 "cmp_tdc.tdc_core.N_2818") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o5_8)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_acam_fifo_ef)) )) - (net (rename cmp_tdc_tdc_core_N_2552 "cmp_tdc.tdc_core.N_2552") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_0)) + (net (rename cmp_tdc_tdc_core_N_4336 "cmp_tdc.tdc_core.N_4336") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_0)) )) - (net (rename cmp_tdc_tdc_core_N_572 "cmp_tdc.tdc_core.N_572") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_we_o_i_a2_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) - (portRef T (instanceRef data_bus_io_iobuf_27)) - (portRef T (instanceRef data_bus_io_iobuf_26)) - (portRef T (instanceRef data_bus_io_iobuf_25)) - (portRef T (instanceRef data_bus_io_iobuf_24)) - (portRef T (instanceRef data_bus_io_iobuf_23)) - (portRef T (instanceRef data_bus_io_iobuf_22)) - (portRef T (instanceRef data_bus_io_iobuf_21)) - (portRef T (instanceRef data_bus_io_iobuf_20)) - (portRef T (instanceRef data_bus_io_iobuf_19)) - (portRef T (instanceRef data_bus_io_iobuf_18)) - (portRef T (instanceRef data_bus_io_iobuf_17)) - (portRef T (instanceRef data_bus_io_iobuf_16)) - (portRef T (instanceRef data_bus_io_iobuf_15)) - (portRef T (instanceRef data_bus_io_iobuf_14)) - (portRef T (instanceRef data_bus_io_iobuf_13)) - (portRef T (instanceRef data_bus_io_iobuf_12)) - (portRef T (instanceRef data_bus_io_iobuf_11)) - (portRef T (instanceRef data_bus_io_iobuf_10)) - (portRef T (instanceRef data_bus_io_iobuf_9)) - (portRef T (instanceRef data_bus_io_iobuf_8)) - (portRef T (instanceRef data_bus_io_iobuf_7)) - (portRef T (instanceRef data_bus_io_iobuf_6)) - (portRef T (instanceRef data_bus_io_iobuf_5)) - (portRef T (instanceRef data_bus_io_iobuf_4)) - (portRef T (instanceRef data_bus_io_iobuf_3)) - (portRef T (instanceRef data_bus_io_iobuf_2)) - (portRef T (instanceRef data_bus_io_iobuf_1)) - (portRef T (instanceRef data_bus_io_iobuf_0)) + (net (rename cmp_tdc_tdc_core_N_4367 "cmp_tdc.tdc_core.N_4367") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_0)) + )) + (net (rename cmp_tdc_tdc_core_N_4368 "cmp_tdc.tdc_core.N_4368") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_0)) + )) + (net (rename cmp_tdc_tdc_core_N_4369 "cmp_tdc.tdc_core.N_4369") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_0)) + )) + (net (rename cmp_tdc_tdc_core_N_4372 "cmp_tdc.tdc_core.N_4372") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_2cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_2cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_0)) + )) + (net (rename cmp_tdc_tdc_core_N_4373 "cmp_tdc.tdc_core.N_4373") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_0)) + )) + (net (rename cmp_tdc_tdc_core_N_4374 "cmp_tdc.tdc_core.N_4374") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_6cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_6cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_0)) + )) + (net (rename cmp_tdc_tdc_core_N_4375 "cmp_tdc.tdc_core.N_4375") (joined + (portRef O6 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_0)) + )) + (net (rename cmp_tdc_tdc_core_N_4376 "cmp_tdc.tdc_core.N_4376") (joined + (portRef O6 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_8cst_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_8cst_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dinZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_din(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_0)) @@ -74540,174 +72734,6 @@ (portRef prog_full (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef I0 (instanceRef gnum_interface_block_p2l_rdy_o)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_0 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(0)") (joined - (portRef dout_0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_0)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_1 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(1)") (joined - (portRef dout_1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_2 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(2)") (joined - (portRef dout_2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_3 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(3)") (joined - (portRef dout_3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_4 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(4)") (joined - (portRef dout_4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_5 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(5)") (joined - (portRef dout_5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_6 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(6)") (joined - (portRef dout_6 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_7 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(7)") (joined - (portRef dout_7 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_8 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(8)") (joined - (portRef dout_8 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_9 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(9)") (joined - (portRef dout_9 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_9)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_10 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(10)") (joined - (portRef dout_10 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_10)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_11 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(11)") (joined - (portRef dout_11 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_12 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(12)") (joined - (portRef dout_12 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_13 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(13)") (joined - (portRef dout_13 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_13)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_14 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(14)") (joined - (portRef dout_14 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_14)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_15 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(15)") (joined - (portRef dout_15 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_15)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_16 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(16)") (joined - (portRef dout_16 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_17 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(17)") (joined - (portRef dout_17 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_17)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_18 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(18)") (joined - (portRef dout_18 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_18)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_19 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(19)") (joined - (portRef dout_19 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_20 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(20)") (joined - (portRef dout_20 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_20)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_21 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(21)") (joined - (portRef dout_21 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_21)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_22 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(22)") (joined - (portRef dout_22 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_22)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_23 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(23)") (joined - (portRef dout_23 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_23)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_24 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(24)") (joined - (portRef dout_24 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_25 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(25)") (joined - (portRef dout_25 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_25)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_26 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(26)") (joined - (portRef dout_26 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_26)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_27 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(27)") (joined - (portRef dout_27 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_28 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(28)") (joined - (portRef dout_28 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_29 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(29)") (joined - (portRef dout_29 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_30 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(30)") (joined - (portRef dout_30 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_31 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(31)") (joined - (portRef dout_31 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_31)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_32 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(32)") (joined - (portRef dout_32 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_0)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_33 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(33)") (joined - (portRef dout_33 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_34 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(34)") (joined - (portRef dout_34 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_35 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(35)") (joined - (portRef dout_35 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_36 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(36)") (joined - (portRef dout_36 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_37 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(37)") (joined - (portRef dout_37 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_38 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(38)") (joined - (portRef dout_38 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_39 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(39)") (joined - (portRef dout_39 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_40 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(40)") (joined - (portRef dout_40 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_dout_41 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_dout(41)") (joined - (portRef dout_41 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_9)) - )) (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_empty "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_empty") (joined (portRef empty (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o6)) @@ -74725,84 +72751,84 @@ (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIN0J4_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIN0J4_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_3)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_3)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_4)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_5)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV0J4_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_5)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_6)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV0J4_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_6)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_7)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_7)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_8)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_8)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_8)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_headerZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_header(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_9)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_9)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_last_packetZ0 "gnum_interface_block.cmp_p2l_dma_master.l2p_last_packet") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_22)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o5)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_27)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_19)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_11)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_10)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_4)) @@ -74821,8 +72847,8 @@ (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_RNO_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1_sqmuxa_0_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1_sqmuxa_0_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_0_sqmuxa_0_a2)) @@ -74834,8 +72860,8 @@ (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_RNI80TA_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_0_sqmuxa_0_a2)) @@ -74847,8 +72873,8 @@ (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_RNI90TA_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1_sqmuxa_0_a2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1_sqmuxa_0_a2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_0_sqmuxa_0_a2)) @@ -74860,8 +72886,8 @@ (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o6)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_busy_error_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1_sqmuxa_0_a2)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1_sqmuxa_0_a2)) @@ -74873,31 +72899,30 @@ (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_stateZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_1)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o5_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o6_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o5_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o6_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o5_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_22)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_27)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_19)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_11)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o5_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o6_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_2)) @@ -74910,7 +72935,6 @@ (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_9)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_10)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNO_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_9)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_8)) @@ -74928,6 +72952,7 @@ (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_27)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_26)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_15)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_13)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_12)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_11)) @@ -74938,6 +72963,7 @@ (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_17)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_16)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNIPBAF1_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_9)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_8)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_7)) @@ -74962,101 +72988,26 @@ )) (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_validZ0 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_valid") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_valid)) - (portRef I2 (instanceRef gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o5)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_30)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_31)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_9)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_sel_o_1_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_30)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_rd_1_lut6_2_o5)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_completion_errorZ0 "gnum_interface_block.cmp_p2l_dma_master.completion_error") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_completion_error)) - (portRef I0 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o6)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_completion_error_e)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_dma_busy_errorZ0 "gnum_interface_block.cmp_p2l_dma_master.dma_busy_error") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_busy_error)) - (portRef I1 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o6)) + (portRef I2 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o6)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_busy_error_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_stateZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o5_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o6)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o6_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6)) @@ -75116,7 +73067,6 @@ (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_8)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_9)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_31)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_29)) @@ -75124,11 +73074,12 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_27)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_26)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_12)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_24)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_valid_o_e)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_dframe_o_e)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_19)) @@ -75155,63 +73106,28 @@ (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_stateZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o6_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o5)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o6_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_busy_error_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_completion_error_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_attrib_o_1_sqmuxa_0_a2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNO_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_rx_error_t_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_len_o_1_sqmuxa_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_h_o_1_sqmuxa_0_a2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_valid_o_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_h_o_1_sqmuxa_0_a2)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_host_addr_l_o_1_sqmuxa_0_a2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNIPBAF1_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_carrier_addr_o_0_sqmuxa_0_a2)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_next_l_o_1_sqmuxa_0_a2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_valid_o_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_ctrl_done_t_e)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i "gnum_interface_block.cmp_p2l_dma_master.p_wb_ack_cnt.un1_p2l_dma_ack_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_ack_cnt_un1_p2l_dma_ack_i_lut6_2_o6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_1)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_2)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_3)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_6)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_12)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_13)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_14)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_15)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_16)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_17)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_18)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_19)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_20)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_21)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_27)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_28)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_30)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_31)) - )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state "gnum_interface_block.cmp_p2l_dma_master.p_addr_cnt.un91_p2l_dma_current_state") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_addr_cnt_un91_p2l_dma_current_state_lut6_2_o6)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_0)) @@ -75359,19 +73275,19 @@ )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_hZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o6_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_hZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o5_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o6_21)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_hZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o5_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_hZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o5_21)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_hZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_h(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_24)) @@ -75487,19 +73403,19 @@ )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_lZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_l(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_l_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o6_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_lZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_l(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_l_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o6_21)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_lZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_l(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_l_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o5_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_lZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_l(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_l_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o5_21)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_address_lZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.l2p_address_l(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_l_24)) @@ -75781,222 +73697,222 @@ (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_0)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_32)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_861_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_802_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_1)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_33)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_862_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_803_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_2)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_34)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_863_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_804_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_3)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_35)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_864_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_805_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_4)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_36)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_865_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_806_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_5)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_37)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_866_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_807_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_6)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_38)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_867_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_808_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_7)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_39)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_868_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_809_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_8)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_40)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_869_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_810_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_9)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_41)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_870_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_811_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_10)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_42)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_871_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_812_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_11)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_43)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_872_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_813_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_12)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_44)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_873_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_814_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_13)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_45)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_874_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_815_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_14)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_46)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_875_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_816_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_15)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_47)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_876_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_817_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_16)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_48)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_877_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_818_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_17)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_49)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_878_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_819_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_18)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_50)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_879_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_820_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_19)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_51)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_880_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_821_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_20)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_52)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_881_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_822_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_21)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_53)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_882_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_823_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_22)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_54)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_883_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_824_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_23)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_55)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_884_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_825_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_24)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_56)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_885_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_826_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_25)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_57)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_886_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_827_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_26)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_58)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_887_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_828_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_27)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_59)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_888_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_829_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_28)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_60)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_889_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_830_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cntZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_29)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_din_61)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_890_b0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_831_b0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_725_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_730_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_4)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_726_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_731_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_5)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_727_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_732_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_728_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_733_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_7)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_729_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_734_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_8)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_730_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_735_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_9)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_731_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_736_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cntZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_10)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_732_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_737_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_64b_addressZ0 "gnum_interface_block.cmp_p2l_dma_master.l2p_64b_address") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_2)) )) @@ -76014,45 +73930,45 @@ )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_3)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_5)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_5)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_3)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_6)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_6)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_7)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_8)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_9)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_cry_0_RNO)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_9)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_8)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_7)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_6)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_3)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_2)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_1)) @@ -76061,264 +73977,102 @@ (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNIRGKM_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNITKKM_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNIVOKM_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_14)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI1TKM_14)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_15)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI31LM_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_16)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI55LM_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_17)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI79LM_17)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_18)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI05KM_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_19)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI29KM_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_20)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNITGKM_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNIVKKM_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI1PKM_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI3TKM_23)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI51LM_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI75LM_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNI99LM_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNIBDLM_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_28)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_RNINMIC_28)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_l2p_len_cntZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.l2p_len_cnt(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19_RNO)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_stateZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_2)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_3)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_0)) - (portRef I (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIEN6D_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIFN6D_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIGN6D_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIHN6D_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIIN6D_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIJN6D_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIKN6D_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNILN6D_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIMN6D_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIUK6B_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIVO6B_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI0T6B_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI117B_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI257B_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI397B_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI4D7B_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI5H7B_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI6L7B_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI7P7B_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNIVK6B_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI0P6B_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI1T6B_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI217B_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI357B_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI497B_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI5D7B_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI6H7B_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI7L7B_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI8P7B_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_30 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_30)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_RNI0L6B_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cntZ0Z_31 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_RNO_31)) - )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3Z0Z_0 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3(0)") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_0)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_0)) @@ -76335,6 +74089,10 @@ (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_3)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_3)) )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3Z0Z_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3(4)") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_4)) + )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3Z0Z_5 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3(5)") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_5)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_5)) @@ -76355,297 +74113,6 @@ (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_9)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_9)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0_RNO)) - (portRef I (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI8JKD_0_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI8JKD_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI9JKD_0_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI9JKD_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIAJKD_0_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIAJKD_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIBJKD_0_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIBJKD_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(5)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNICJKD_0_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNICJKD_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(6)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIDJKD_0_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIDJKD_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(7)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIEJKD_0_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIEJKD_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(8)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIFJKD_0_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIFJKD_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(9)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIGJKD_0_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIGJKD_9)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(10)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIOS26_0_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIOS26_10)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(11)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIP036_0_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIP036_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(12)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ436_0_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ436_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(13)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR836_0_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR836_13)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(14)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNISC36_0_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNISC36_14)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(15)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITG36_0_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITG36_15)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(16)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUK36_0_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUK36_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(17)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVO36_0_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVO36_17)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(18)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0T36_0_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0T36_18)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(19)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1146_0_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1146_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(20)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIPS26_0_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIPS26_20)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(21)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ036_0_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQ036_21)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(22)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR436_0_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIR436_22)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(23)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIS836_0_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIS836_23)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(24)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITC36_0_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNITC36_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(25)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUG36_0_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIUG36_25)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(26)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVK36_0_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIVK36_26)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(27)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0P36_0_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI0P36_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(28)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1T36_0_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI1T36_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(29)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI2146_0_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNI2146_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(30)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQS26_0_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_RNIQS26_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cntZ0Z_31 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt(31)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_RNO_31)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) - (portRef I3 (instanceRef gnum_interface_block_p_dma_wb_mux_un1_p2l_dma_cyc_lut6_2_o5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_1 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_2 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_3 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_4 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_5 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_6 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_7 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_8 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_8)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_9 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_10 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_11 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_11)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_12 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_12)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_13 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_13)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_14 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_14)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_15 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_15)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_16 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_16)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_17 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_17)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_18 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_18)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_19 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_19)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_20 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_20)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_21 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_22 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_23 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_23)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_24 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_24)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_25 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_25)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_26 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_26)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_27 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_28 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_28)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_29 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_29)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_30 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_31 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_ns(0)") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_0)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_4)) @@ -76659,7 +74126,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa "gnum_interface_block.cmp_p2l_dma_master.pdm_arb_req_o_0_sqmuxa") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o5_0)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o6)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_l_0)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_l_1)) @@ -76806,123 +74273,91 @@ (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_10)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_13 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_13)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_11 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(11)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_11)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_14 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_13)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_14)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_14)) )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_16 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(16)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_16)) + )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_18 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(18)") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_18)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_19 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_19)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_19)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_20 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_20)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_19)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_20)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_21 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_20)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_23 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(23)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_24 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(24)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_25 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(25)") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_25)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_26 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_27 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(27)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_27)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_28 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_28)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_27)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_28)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_29 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_28)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_0 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_4 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_5 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_1 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_2 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_3 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_8 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_9 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_6 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_data_tmp_7 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_data_tmp(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1541 "gnum_interface_block.cmp_p2l_dma_master.N_1541") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1527 "gnum_interface_block.cmp_p2l_dma_master.N_1527") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_24)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_24)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1550 "gnum_interface_block.cmp_p2l_dma_master.N_1550") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1548 "gnum_interface_block.cmp_p2l_dma_master.N_1548") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_11)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_11)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_110 "gnum_interface_block.cmp_p2l_dma_master.N_110") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1549 "gnum_interface_block.cmp_p2l_dma_master.N_1549") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_12)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_12)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_111 "gnum_interface_block.cmp_p2l_dma_master.N_111") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1550 "gnum_interface_block.cmp_p2l_dma_master.N_1550") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_13)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_13)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_113 "gnum_interface_block.cmp_p2l_dma_master.N_113") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1551 "gnum_interface_block.cmp_p2l_dma_master.N_1551") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_14)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1552 "gnum_interface_block.cmp_p2l_dma_master.N_1552") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_15)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_15)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1553 "gnum_interface_block.cmp_p2l_dma_master.N_1553") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1557 "gnum_interface_block.cmp_p2l_dma_master.N_1557") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_25)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_119 "gnum_interface_block.cmp_p2l_dma_master.N_119") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1558 "gnum_interface_block.cmp_p2l_dma_master.N_1558") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_26)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_26)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_120 "gnum_interface_block.cmp_p2l_dma_master.N_120") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1559 "gnum_interface_block.cmp_p2l_dma_master.N_1559") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_27)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_27)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1554 "gnum_interface_block.cmp_p2l_dma_master.N_1554") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1560 "gnum_interface_block.cmp_p2l_dma_master.N_1560") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_28)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_28)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_122 "gnum_interface_block.cmp_p2l_dma_master.N_122") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1561 "gnum_interface_block.cmp_p2l_dma_master.N_1561") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_29)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_29)) )) @@ -76930,132 +74365,100 @@ (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_30)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_30)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1555 "gnum_interface_block.cmp_p2l_dma_master.N_1555") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1562 "gnum_interface_block.cmp_p2l_dma_master.N_1562") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_31)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_31)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1559 "gnum_interface_block.cmp_p2l_dma_master.N_1559") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1566 "gnum_interface_block.cmp_p2l_dma_master.N_1566") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_next_item_valid_o_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_ctrl_done_t_e)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1547 "gnum_interface_block.cmp_p2l_dma_master.N_1547") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_lut6_2_o6_0)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1541 "gnum_interface_block.cmp_p2l_dma_master.N_1541") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_0_sqmuxa_0_a3_0_a2_lut6_2_o5)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_0)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_77 "gnum_interface_block.cmp_p2l_dma_master.N_77") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_125 "gnum_interface_block.cmp_p2l_dma_master.N_125") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o6_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1521 "gnum_interface_block.cmp_p2l_dma_master.N_1521") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_completion_error_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNO_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_0)) )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_523 "gnum_interface_block.cmp_p2l_dma_master.N_523") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) + )) (net (rename gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1 "gnum_interface_block.cmp_p2l_dma_master.to_wb_fifo_rd_1") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_rd_1_lut6_2_o6)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_valid)) (portRef rd_en (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_2 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_2") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_70 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_70") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_56 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_56") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_63 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_63") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_42 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_42") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_49 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_49") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_28 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_28") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_35 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_35") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_14 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_14") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_21 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_21") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_N_7 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_0_N_7") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) - )) (net (rename gnum_interface_block_cmp_p2l_dma_master_N_52_0 "gnum_interface_block.cmp_p2l_dma_master.N_52_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o6_20)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o6_20)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_N_55_0 "gnum_interface_block.cmp_p2l_dma_master.N_55_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIKIU12_o5_20)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o6_21)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_21)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_N_58_0 "gnum_interface_block.cmp_p2l_dma_master.N_58_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o6)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIMIU12_o5_20)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_22)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_N_61_0 "gnum_interface_block.cmp_p2l_dma_master.N_61_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o6)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_RNIQIU12_o5_21)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_23)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1452 "gnum_interface_block.cmp_p2l_dma_master.N_1452") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_13)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1443 "gnum_interface_block.cmp_p2l_dma_master.N_1443") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_11)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1453 "gnum_interface_block.cmp_p2l_dma_master.N_1453") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1446 "gnum_interface_block.cmp_p2l_dma_master.N_1446") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_14)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1457 "gnum_interface_block.cmp_p2l_dma_master.N_1457") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1448 "gnum_interface_block.cmp_p2l_dma_master.N_1448") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1450 "gnum_interface_block.cmp_p2l_dma_master.N_1450") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_8)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_18)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1458 "gnum_interface_block.cmp_p2l_dma_master.N_1458") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1451 "gnum_interface_block.cmp_p2l_dma_master.N_1451") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_19)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1459 "gnum_interface_block.cmp_p2l_dma_master.N_1459") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1452 "gnum_interface_block.cmp_p2l_dma_master.N_1452") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_19)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1460 "gnum_interface_block.cmp_p2l_dma_master.N_1460") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_20)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1455 "gnum_interface_block.cmp_p2l_dma_master.N_1455") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1464 "gnum_interface_block.cmp_p2l_dma_master.N_1464") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1456 "gnum_interface_block.cmp_p2l_dma_master.N_1456") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1457 "gnum_interface_block.cmp_p2l_dma_master.N_1457") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_15)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_25)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1465 "gnum_interface_block.cmp_p2l_dma_master.N_1465") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1459 "gnum_interface_block.cmp_p2l_dma_master.N_1459") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_27)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1467 "gnum_interface_block.cmp_p2l_dma_master.N_1467") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1460 "gnum_interface_block.cmp_p2l_dma_master.N_1460") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1468 "gnum_interface_block.cmp_p2l_dma_master.N_1468") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_0 "gnum_interface_block.cmp_dma_controller.dma_ctrl(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_o_0)) @@ -77202,8 +74605,8 @@ (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_0_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_RNI60PA1_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_RNI60PA1_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_regZ0Z_4 "gnum_interface_block.cmp_dma_controller.dma_ctrl_reg(4)") (joined @@ -77371,11 +74774,11 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_1 "gnum_interface_block.cmp_dma_controller.dma_cstart(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_2 "gnum_interface_block.cmp_dma_controller.dma_cstart(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_3 "gnum_interface_block.cmp_dma_controller.dma_cstart(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_3)) @@ -77383,15 +74786,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_4 "gnum_interface_block.cmp_dma_controller.dma_cstart(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_5 "gnum_interface_block.cmp_dma_controller.dma_cstart(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_6 "gnum_interface_block.cmp_dma_controller.dma_cstart(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_7 "gnum_interface_block.cmp_dma_controller.dma_cstart(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_7)) @@ -77403,19 +74806,19 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_9 "gnum_interface_block.cmp_dma_controller.dma_cstart(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_10 "gnum_interface_block.cmp_dma_controller.dma_cstart(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_11 "gnum_interface_block.cmp_dma_controller.dma_cstart(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_12 "gnum_interface_block.cmp_dma_controller.dma_cstart(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_13 "gnum_interface_block.cmp_dma_controller.dma_cstart(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_13)) @@ -77483,15 +74886,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_29 "gnum_interface_block.cmp_dma_controller.dma_cstart(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_30 "gnum_interface_block.cmp_dma_controller.dma_cstart(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_30)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_31 "gnum_interface_block.cmp_dma_controller.dma_cstart(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_o_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_30)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_cstart_regZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_cstart_reg(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_0)) @@ -77657,19 +75060,19 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_0 "gnum_interface_block.cmp_dma_controller.dma_hstartl(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_1 "gnum_interface_block.cmp_dma_controller.dma_hstartl(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_2 "gnum_interface_block.cmp_dma_controller.dma_hstartl(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_3 "gnum_interface_block.cmp_dma_controller.dma_hstartl(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_4 "gnum_interface_block.cmp_dma_controller.dma_hstartl(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_4)) @@ -77681,23 +75084,23 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_6 "gnum_interface_block.cmp_dma_controller.dma_hstartl(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_7 "gnum_interface_block.cmp_dma_controller.dma_hstartl(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_8 "gnum_interface_block.cmp_dma_controller.dma_hstartl(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_9 "gnum_interface_block.cmp_dma_controller.dma_hstartl(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_10 "gnum_interface_block.cmp_dma_controller.dma_hstartl(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_11 "gnum_interface_block.cmp_dma_controller.dma_hstartl(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_11)) @@ -77709,7 +75112,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_13 "gnum_interface_block.cmp_dma_controller.dma_hstartl(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_14 "gnum_interface_block.cmp_dma_controller.dma_hstartl(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_14)) @@ -77721,31 +75124,31 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_16 "gnum_interface_block.cmp_dma_controller.dma_hstartl(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_17 "gnum_interface_block.cmp_dma_controller.dma_hstartl(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_18 "gnum_interface_block.cmp_dma_controller.dma_hstartl(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_19 "gnum_interface_block.cmp_dma_controller.dma_hstartl(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_20 "gnum_interface_block.cmp_dma_controller.dma_hstartl(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_21 "gnum_interface_block.cmp_dma_controller.dma_hstartl(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_22 "gnum_interface_block.cmp_dma_controller.dma_hstartl(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_23 "gnum_interface_block.cmp_dma_controller.dma_hstartl(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_o_23)) @@ -77785,7 +75188,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(1)") (joined @@ -77820,7 +75223,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_7 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o5_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o5_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_8 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(8)") (joined @@ -77845,12 +75248,12 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_12 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o5_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_13 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_14 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(14)") (joined @@ -77860,22 +75263,22 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_15 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o5_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_16 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o5_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_17 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_18 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o5_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_19 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(19)") (joined @@ -77890,17 +75293,17 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_21 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_22 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_23 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o5_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_24 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(24)") (joined @@ -77915,7 +75318,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_26 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o5_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_27 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(27)") (joined @@ -77925,22 +75328,22 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_28 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_29 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_30 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_regZ0Z_31 "gnum_interface_block.cmp_dma_controller.dma_hstartl_reg(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o5_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_read_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstartl_load "gnum_interface_block.cmp_dma_controller.dma_hstartl_load") (joined @@ -77961,19 +75364,19 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_3 "gnum_interface_block.cmp_dma_controller.dma_hstarth(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_4 "gnum_interface_block.cmp_dma_controller.dma_hstarth(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_5 "gnum_interface_block.cmp_dma_controller.dma_hstarth(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_6 "gnum_interface_block.cmp_dma_controller.dma_hstarth(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_7 "gnum_interface_block.cmp_dma_controller.dma_hstarth(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_7)) @@ -78001,15 +75404,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_13 "gnum_interface_block.cmp_dma_controller.dma_hstarth(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_14 "gnum_interface_block.cmp_dma_controller.dma_hstarth(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_15 "gnum_interface_block.cmp_dma_controller.dma_hstarth(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_16 "gnum_interface_block.cmp_dma_controller.dma_hstarth(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_16)) @@ -78021,27 +75424,27 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_18 "gnum_interface_block.cmp_dma_controller.dma_hstarth(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_19 "gnum_interface_block.cmp_dma_controller.dma_hstarth(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_20 "gnum_interface_block.cmp_dma_controller.dma_hstarth(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_21 "gnum_interface_block.cmp_dma_controller.dma_hstarth(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_22 "gnum_interface_block.cmp_dma_controller.dma_hstarth(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_23 "gnum_interface_block.cmp_dma_controller.dma_hstarth(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_24 "gnum_interface_block.cmp_dma_controller.dma_hstarth(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_24)) @@ -78053,15 +75456,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_26 "gnum_interface_block.cmp_dma_controller.dma_hstarth(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_27 "gnum_interface_block.cmp_dma_controller.dma_hstarth(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_28 "gnum_interface_block.cmp_dma_controller.dma_hstarth(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_29 "gnum_interface_block.cmp_dma_controller.dma_hstarth(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_o_29)) @@ -78077,52 +75480,52 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o6_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_2 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o6_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o6_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_3 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o6_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o6_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_4 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o6_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o6_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_5 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o6_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o6_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_6 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o6_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o6_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_7 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o5_4)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_8 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o6_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o6_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_9 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o6_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o6_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_10 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(10)") (joined @@ -78132,62 +75535,62 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_11 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o6_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o6_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_12 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_13 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_14 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o6_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_15 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o5_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o5_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_16 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o5_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_17 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o5_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o5_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_18 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o5_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o5_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_19 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o5_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o5_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_20 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o5_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_21 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_22 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o5_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_23 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(23)") (joined @@ -78202,12 +75605,12 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_25 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o5_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o5_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_26 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o5_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o6_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_27 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(27)") (joined @@ -78217,7 +75620,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_28 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o5_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o5_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_hstarth_regZ0Z_29 "gnum_interface_block.cmp_dma_controller.dma_hstarth_reg(29)") (joined @@ -78265,15 +75668,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_6 "gnum_interface_block.cmp_dma_controller.dma_len(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_7 "gnum_interface_block.cmp_dma_controller.dma_len(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_8 "gnum_interface_block.cmp_dma_controller.dma_len(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_9 "gnum_interface_block.cmp_dma_controller.dma_len(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_9)) @@ -78285,7 +75688,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_11 "gnum_interface_block.cmp_dma_controller.dma_len(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_12 "gnum_interface_block.cmp_dma_controller.dma_len(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_12)) @@ -78313,7 +75716,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_18 "gnum_interface_block.cmp_dma_controller.dma_len(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_19 "gnum_interface_block.cmp_dma_controller.dma_len(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_19)) @@ -78377,183 +75780,183 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_2 "gnum_interface_block.cmp_dma_controller.dma_len_reg(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o6_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o5_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_3 "gnum_interface_block.cmp_dma_controller.dma_len_reg(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o6_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_4 "gnum_interface_block.cmp_dma_controller.dma_len_reg(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_4)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIR8LD1_o5_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_5 "gnum_interface_block.cmp_dma_controller.dma_len_reg(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_5)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIR8LD1_o6_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_6 "gnum_interface_block.cmp_dma_controller.dma_len_reg(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o6_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_7 "gnum_interface_block.cmp_dma_controller.dma_len_reg(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_7)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI09AM1_o6_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_8 "gnum_interface_block.cmp_dma_controller.dma_len_reg(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o6_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o6_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_9 "gnum_interface_block.cmp_dma_controller.dma_len_reg(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o6_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o6_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_10 "gnum_interface_block.cmp_dma_controller.dma_len_reg(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o6_28)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o6_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_11 "gnum_interface_block.cmp_dma_controller.dma_len_reg(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o6_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_12 "gnum_interface_block.cmp_dma_controller.dma_len_reg(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o6_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o6_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_13 "gnum_interface_block.cmp_dma_controller.dma_len_reg(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o6_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o6_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_14 "gnum_interface_block.cmp_dma_controller.dma_len_reg(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o6_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_15 "gnum_interface_block.cmp_dma_controller.dma_len_reg(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_16 "gnum_interface_block.cmp_dma_controller.dma_len_reg(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_16)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_17 "gnum_interface_block.cmp_dma_controller.dma_len_reg(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_18 "gnum_interface_block.cmp_dma_controller.dma_len_reg(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_19 "gnum_interface_block.cmp_dma_controller.dma_len_reg(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_20 "gnum_interface_block.cmp_dma_controller.dma_len_reg(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_21 "gnum_interface_block.cmp_dma_controller.dma_len_reg(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_22 "gnum_interface_block.cmp_dma_controller.dma_len_reg(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o5_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_23 "gnum_interface_block.cmp_dma_controller.dma_len_reg(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o5_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_24 "gnum_interface_block.cmp_dma_controller.dma_len_reg(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_25 "gnum_interface_block.cmp_dma_controller.dma_len_reg(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_25)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_3_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_26 "gnum_interface_block.cmp_dma_controller.dma_len_reg(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_26)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_27 "gnum_interface_block.cmp_dma_controller.dma_len_reg(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_28 "gnum_interface_block.cmp_dma_controller.dma_len_reg(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_16)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_29 "gnum_interface_block.cmp_dma_controller.dma_len_reg(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_15)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_29)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_30 "gnum_interface_block.cmp_dma_controller.dma_len_reg(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_30)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_4_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_regZ0Z_31 "gnum_interface_block.cmp_dma_controller.dma_len_reg(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o5_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o5_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_len_load "gnum_interface_block.cmp_dma_controller.dma_len_load") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_load_o)) @@ -78561,19 +75964,19 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_0 "gnum_interface_block.cmp_dma_controller.dma_nextl(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_1 "gnum_interface_block.cmp_dma_controller.dma_nextl(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_2 "gnum_interface_block.cmp_dma_controller.dma_nextl(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_3 "gnum_interface_block.cmp_dma_controller.dma_nextl(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_4 "gnum_interface_block.cmp_dma_controller.dma_nextl(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_4)) @@ -78585,15 +75988,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_6 "gnum_interface_block.cmp_dma_controller.dma_nextl(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_7 "gnum_interface_block.cmp_dma_controller.dma_nextl(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_8 "gnum_interface_block.cmp_dma_controller.dma_nextl(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_9 "gnum_interface_block.cmp_dma_controller.dma_nextl(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_9)) @@ -78637,11 +76040,11 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_19 "gnum_interface_block.cmp_dma_controller.dma_nextl(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_20 "gnum_interface_block.cmp_dma_controller.dma_nextl(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_21 "gnum_interface_block.cmp_dma_controller.dma_nextl(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_o_21)) @@ -78689,7 +76092,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(1)") (joined @@ -78724,7 +76127,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_7 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o5_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o5_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_8 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(8)") (joined @@ -78749,12 +76152,12 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_12 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_13 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_14 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(14)") (joined @@ -78764,22 +76167,22 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_15 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o5_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_16 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o5_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_17 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_18 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o5_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_19 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(19)") (joined @@ -78794,17 +76197,17 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_21 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_22 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_23 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o5_23)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_24 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(24)") (joined @@ -78819,7 +76222,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_26 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o5_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_27 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(27)") (joined @@ -78829,22 +76232,22 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_28 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_29 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_30 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_30)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_regZ0Z_31 "gnum_interface_block.cmp_dma_controller.dma_nextl_reg(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o5_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nextl_load "gnum_interface_block.cmp_dma_controller.dma_nextl_load") (joined @@ -78857,15 +76260,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_1 "gnum_interface_block.cmp_dma_controller.dma_nexth(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_2 "gnum_interface_block.cmp_dma_controller.dma_nexth(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_2)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_3 "gnum_interface_block.cmp_dma_controller.dma_nexth(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_3)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_2)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_4 "gnum_interface_block.cmp_dma_controller.dma_nexth(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_4)) @@ -78881,23 +76284,23 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_7 "gnum_interface_block.cmp_dma_controller.dma_nexth(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_8 "gnum_interface_block.cmp_dma_controller.dma_nexth(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_9 "gnum_interface_block.cmp_dma_controller.dma_nexth(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_10 "gnum_interface_block.cmp_dma_controller.dma_nexth(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_9)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_11 "gnum_interface_block.cmp_dma_controller.dma_nexth(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_11)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_12 "gnum_interface_block.cmp_dma_controller.dma_nexth(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_12)) @@ -78905,15 +76308,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_13 "gnum_interface_block.cmp_dma_controller.dma_nexth(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_14 "gnum_interface_block.cmp_dma_controller.dma_nexth(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_15 "gnum_interface_block.cmp_dma_controller.dma_nexth(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_15)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_14)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_16 "gnum_interface_block.cmp_dma_controller.dma_nexth(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_16)) @@ -78929,31 +76332,31 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_19 "gnum_interface_block.cmp_dma_controller.dma_nexth(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_20 "gnum_interface_block.cmp_dma_controller.dma_nexth(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_21 "gnum_interface_block.cmp_dma_controller.dma_nexth(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_20)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_22 "gnum_interface_block.cmp_dma_controller.dma_nexth(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_23 "gnum_interface_block.cmp_dma_controller.dma_nexth(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_22)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_24 "gnum_interface_block.cmp_dma_controller.dma_nexth(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_25 "gnum_interface_block.cmp_dma_controller.dma_nexth(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_26 "gnum_interface_block.cmp_dma_controller.dma_nexth(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_o_26)) @@ -78981,52 +76384,52 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o6_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o6_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_2 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o6_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o6_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_3 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o6_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o6_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_4 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o6_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o6_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_5 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o6_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o6_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_6 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o6_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o6_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_7 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_8 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o6_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o6_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_9 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o6_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o6_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_10 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(10)") (joined @@ -79036,62 +76439,62 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_11 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o6_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o6_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_12 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_13 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_14 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o6_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_15 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o5_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_16 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(16)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o5_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_17 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o5_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_18 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o5_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o5_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_19 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o5_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o5_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_20 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_21 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_22 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o5_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_23 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(23)") (joined @@ -79106,12 +76509,12 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_25 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o5_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o5_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_26 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o6_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_27 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(27)") (joined @@ -79121,7 +76524,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_28 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o5_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o5_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_read_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_nexth_regZ0Z_29 "gnum_interface_block.cmp_dma_controller.dma_nexth_reg(29)") (joined @@ -79145,7 +76548,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_0 "gnum_interface_block.cmp_dma_controller.dma_attrib(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_1 "gnum_interface_block.cmp_dma_controller.dma_attrib(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_1)) @@ -79177,15 +76580,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_8 "gnum_interface_block.cmp_dma_controller.dma_attrib(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_9 "gnum_interface_block.cmp_dma_controller.dma_attrib(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_10 "gnum_interface_block.cmp_dma_controller.dma_attrib(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_11 "gnum_interface_block.cmp_dma_controller.dma_attrib(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_11)) @@ -79197,7 +76600,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_13 "gnum_interface_block.cmp_dma_controller.dma_attrib(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_14 "gnum_interface_block.cmp_dma_controller.dma_attrib(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_14)) @@ -79217,35 +76620,35 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_18 "gnum_interface_block.cmp_dma_controller.dma_attrib(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_19 "gnum_interface_block.cmp_dma_controller.dma_attrib(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_20 "gnum_interface_block.cmp_dma_controller.dma_attrib(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_21 "gnum_interface_block.cmp_dma_controller.dma_attrib(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_22 "gnum_interface_block.cmp_dma_controller.dma_attrib(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_23 "gnum_interface_block.cmp_dma_controller.dma_attrib(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_23)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_23)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_24 "gnum_interface_block.cmp_dma_controller.dma_attrib(24)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_24)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_25 "gnum_interface_block.cmp_dma_controller.dma_attrib(25)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_25)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_26 "gnum_interface_block.cmp_dma_controller.dma_attrib(26)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_26)) @@ -79257,7 +76660,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_28 "gnum_interface_block.cmp_dma_controller.dma_attrib(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_29 "gnum_interface_block.cmp_dma_controller.dma_attrib(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_o_29)) @@ -79281,9 +76684,9 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_regZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_attrib_reg(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_ldmx)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_ldmx)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_read_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_attrib_regZ0Z_2 "gnum_interface_block.cmp_dma_controller.dma_attrib_reg(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_2)) @@ -79419,6 +76822,8 @@ (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_stateZ0Z_4 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_4)) (portRef I3 (instanceRef gnum_interface_block_dma_ctrl_done_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_ldmx)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_ldmx)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNO_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_6)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) @@ -79429,117 +76834,114 @@ (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_done_irq_e)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_stateZ0Z_5 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_21)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_11)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_16)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_20)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_29)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o5_29)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m2_lut6_2_o6_29)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_24)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_30)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_9)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_22)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_23)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_31)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o5_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o6_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o5_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o6_18)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o6_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o5_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o6_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o5_15)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o6_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o5_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o6_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_18)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_15)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_15)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o5_31)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o6_31)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o5_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o6_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o6_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o6_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o5_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o6_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o5_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o6_26)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI09AM1_o5_31)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI09AM1_o6_31)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o5_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o6_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o5_22)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o6_22)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIR8LD1_o5_4)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIR8LD1_o6_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o5_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o6_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o5_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o6_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o6_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIGA462_o5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o5_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o6_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o5_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o6_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o5_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o6_26)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o5_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o5_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o6_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o5_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o6_11)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSTU1_o5_10)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSTU1_o6_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o5_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o6_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o5_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o6_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o5_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o6_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o5_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o6_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o5_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o6_4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o5_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o6_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o5_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o6_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o5_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o6_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o5_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o5_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o6_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o5_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o6_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o5_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o6_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o5_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o6_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o5_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o6_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o5_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o6_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o6_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o5_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o6_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_ldmx)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_ldmx)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_4)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNILHD82_3)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_stateZ0Z_3 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_3)) @@ -79567,7 +76969,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_current_stateZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_ctrl_current_state(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_1)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o5_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIGA462_o6_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNO_0)) @@ -79580,7 +76982,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_statusZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_status(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o5_1)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_stat_reg_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_statusZ0Z_2 "gnum_interface_block.cmp_dma_controller.dma_status(2)") (joined @@ -79588,7 +76990,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_stat_reg_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un2_wb_cyc_i(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_0)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_sZ0Z2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_lw_s2") (joined @@ -79617,8 +77019,8 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_sZ0Z2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_lw_s2") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_s2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_sZ0Z2 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_lw_s2") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_s2)) @@ -79639,9 +77041,9 @@ (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o5_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o6_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_RNO)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_RNO)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_RNO)) @@ -79652,18 +77054,19 @@ (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_RNO)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_RNO)) (portRef I (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNI6Q07)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_0)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0_a2_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwselZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_rwsel") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel)) @@ -79696,8 +77099,8 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwselZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_rwsel") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o6)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o6)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwselZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_rwsel") (joined @@ -79769,8 +77172,8 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_sZ0Z1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_lw_s1") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_s1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_s2)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_sZ0Z0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_lw_s0") (joined @@ -79810,8 +77213,8 @@ (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_sreg(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress)) @@ -79822,259 +77225,259 @@ (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o5_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_1)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_10)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o5_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_31)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_4)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_6)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_7)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_9)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_15)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_26)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_27)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_13)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_14)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_cstart_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_4)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_6)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_9)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_15)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_26)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_13)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_14)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstartl_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o5_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_31)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_30)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_3)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_6)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_8)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_9)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_11)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_12)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_15)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_16)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_18)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_19)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_29)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_20)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_21)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_22)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_23)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_24)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_25)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_26)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_27)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_13)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_14)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_10)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_28)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_hstarth_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_26)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_4)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_18)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_15)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_26)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_18)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_15)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_31)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_29)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_27)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_27)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_28)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_28)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_25)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_25)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_23)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_19)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_17)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_20)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_18)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_18)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_15)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_15)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_13)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_12)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_11)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_10)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_8)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_9)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_7)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_6)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_4)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_26)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_1)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_24)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_25)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_18)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_19)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_15)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_22)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_23)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_31)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_7)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nexth_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_31)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_30)) @@ -80111,7 +77514,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progressZ0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_lw_read_in_progress") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_31)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_30)) @@ -80437,7 +77840,7 @@ (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_read_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read_0_sqmuxa") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o6)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o5)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_0)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_1)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_o_2)) @@ -80473,7 +77876,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_load_o)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read_1_sqmuxa") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0_sqmuxa_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1_sqmuxa_lut6_2_o6)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_0)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_1)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_2)) @@ -80722,7 +78125,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_readZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_int_read(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_read_0)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_readZ0Z_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_ctrl_int_read(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_read_1)) @@ -81762,31 +79165,31 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_4)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_5)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_6)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_5)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_7)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_7)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_8)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_9)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_8)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_10)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_10)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_11)) @@ -81794,15 +79197,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_12)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_13)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_14)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_13)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_15)) @@ -81814,27 +79217,27 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_17)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_18)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_17)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_19)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_20)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_19)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_21)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_22 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_22)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_21)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_23)) @@ -81854,23 +79257,23 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_27)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_28)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_27)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_29)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_30)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_29)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_readZ0Z_31 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_read(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_read_31)) - (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0)) + (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_writeZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_len_int_write(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_0)) @@ -82018,31 +79421,31 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_4)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(5)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(6)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(7)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_7)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(8)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(9)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_9)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(10)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(11)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_11)) @@ -82050,15 +79453,15 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(12)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_12)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(13)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_13)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(14)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_14)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_13)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(15)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_15)) @@ -82070,27 +79473,27 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(17)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_17)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(18)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_18)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_17)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(19)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_19)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(20)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_20)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_19)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(21)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_21)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_22 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(22)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_22)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_21)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(23)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_23)) @@ -82110,23 +79513,23 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(27)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_27)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(28)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_28)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_27)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(29)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_29)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(30)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_30)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_29)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_readZ0Z_31 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_read(31)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_read_31)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_writeZ0Z_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_nextl_int_write(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_0)) @@ -83182,7 +80585,7 @@ )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_int_read_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_int_read(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_int_readcst_0)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_0)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_int_read_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_stat_int_read(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_int_readcst_1)) @@ -83202,7 +80605,7 @@ (portRef I0 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_RNO)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.dma_attrib_lw_read_in_progress_5") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNIFAAN_o5)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) @@ -83355,15 +80758,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2324 "gnum_interface_block.cmp_dma_controller.N_2324") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o5_20)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2325 "gnum_interface_block.cmp_dma_controller.N_2325") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o5_22)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2326 "gnum_interface_block.cmp_dma_controller.N_2326") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_h_o_4_i_m4_i_m2_lut6_2_o6_22)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o5_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2327 "gnum_interface_block.cmp_dma_controller.N_2327") (joined @@ -83391,7 +80794,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2333 "gnum_interface_block.cmp_dma_controller.N_2333") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_16)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2334 "gnum_interface_block.cmp_dma_controller.N_2334") (joined @@ -83399,11 +80802,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2335 "gnum_interface_block.cmp_dma_controller.N_2335") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o5_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2336 "gnum_interface_block.cmp_dma_controller.N_2336") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_29)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_27)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2337 "gnum_interface_block.cmp_dma_controller.N_2337") (joined @@ -83415,7 +80818,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2339 "gnum_interface_block.cmp_dma_controller.N_2339") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o5_7)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o5_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2340 "gnum_interface_block.cmp_dma_controller.N_2340") (joined @@ -83423,7 +80826,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2341 "gnum_interface_block.cmp_dma_controller.N_2341") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_16)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o5_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2342 "gnum_interface_block.cmp_dma_controller.N_2342") (joined @@ -83435,7 +80838,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2344 "gnum_interface_block.cmp_dma_controller.N_2344") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_29)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2345 "gnum_interface_block.cmp_dma_controller.N_2345") (joined @@ -83451,15 +80854,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2348 "gnum_interface_block.cmp_dma_controller.N_2348") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o5_12)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2349 "gnum_interface_block.cmp_dma_controller.N_2349") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o5_15)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2350 "gnum_interface_block.cmp_dma_controller.N_2350") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_22)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2351 "gnum_interface_block.cmp_dma_controller.N_2351") (joined @@ -83467,15 +80870,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2352 "gnum_interface_block.cmp_dma_controller.N_2352") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_31)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_30)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2353 "gnum_interface_block.cmp_dma_controller.N_2353") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o5_28)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o5_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2354 "gnum_interface_block.cmp_dma_controller.N_2354") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o5_0)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2355 "gnum_interface_block.cmp_dma_controller.N_2355") (joined @@ -83491,7 +80894,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2358 "gnum_interface_block.cmp_dma_controller.N_2358") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2359 "gnum_interface_block.cmp_dma_controller.N_2359") (joined @@ -83499,15 +80902,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2360 "gnum_interface_block.cmp_dma_controller.N_2360") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o5_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2361 "gnum_interface_block.cmp_dma_controller.N_2361") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_22)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o5_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2362 "gnum_interface_block.cmp_dma_controller.N_2362") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o5_23)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2363 "gnum_interface_block.cmp_dma_controller.N_2363") (joined @@ -83515,7 +80918,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2364 "gnum_interface_block.cmp_dma_controller.N_2364") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_fsm_dma_ctrl_host_addr_l_o_4_i_m2_lut6_2_o6_31)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o5_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_l_o_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_31 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(31)") (joined @@ -83555,7 +80958,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(1)") (joined @@ -83571,7 +80974,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_1 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_29 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(29)") (joined @@ -83583,7 +80986,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(2)") (joined @@ -83599,7 +81002,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_2 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_2)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(28)") (joined @@ -83607,7 +81010,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_0)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(3)") (joined @@ -83615,7 +81018,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_28 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(28)") (joined @@ -83627,7 +81030,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_3 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_2)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(27)") (joined @@ -83639,11 +81042,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_4)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_4 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_4)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_27 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(27)") (joined @@ -83667,11 +81070,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_4)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_5 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_4)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_26 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(26)") (joined @@ -83691,15 +81094,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_25)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_3)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_6 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o6_6)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_25 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(25)") (joined @@ -83719,7 +81122,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_24 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_25)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_24)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(7)") (joined @@ -83739,7 +81142,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_7 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_7)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(23)") (joined @@ -83747,7 +81150,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_23 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_23)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_24)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(8)") (joined @@ -83767,7 +81170,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_8 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_7)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(22)") (joined @@ -83775,11 +81178,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_23)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(9)") (joined @@ -83787,7 +81190,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_23)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_22 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4(22)") (joined @@ -83795,7 +81198,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_9 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(21)") (joined @@ -83803,11 +81206,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_10)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(10)") (joined @@ -83815,7 +81218,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_21 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4(21)") (joined @@ -83823,19 +81226,19 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_10 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_10)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o6_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o6_11)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_10)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(11)") (joined @@ -83843,7 +81246,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_20 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4(20)") (joined @@ -83851,19 +81254,19 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_11 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nexth_reg_4(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o6_11)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_10)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_nextl_reg_4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o6_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_attrib_reg_4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_lut6_2_o5_6)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_lut6_2_o5_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_cstart_reg_4(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_lut6_2_o5_11)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_12 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstarth_reg_4(12)") (joined @@ -83871,7 +81274,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_hstartl_reg_4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o6_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_19 "gnum_interface_block.cmp_dma_controller.p_regs.dma_len_reg_4(19)") (joined @@ -83887,7 +81290,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2622 "gnum_interface_block.cmp_dma_controller.N_2622") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2623 "gnum_interface_block.cmp_dma_controller.N_2623") (joined @@ -83895,19 +81298,19 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2624 "gnum_interface_block.cmp_dma_controller.N_2624") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_28)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2625 "gnum_interface_block.cmp_dma_controller.N_2625") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_lut6_2_o5_31)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2626 "gnum_interface_block.cmp_dma_controller.N_2626") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2627 "gnum_interface_block.cmp_dma_controller.N_2627") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2628 "gnum_interface_block.cmp_dma_controller.N_2628") (joined @@ -83923,11 +81326,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2631 "gnum_interface_block.cmp_dma_controller.N_2631") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2632 "gnum_interface_block.cmp_dma_controller.N_2632") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_17)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2633 "gnum_interface_block.cmp_dma_controller.N_2633") (joined @@ -83935,7 +81338,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2634 "gnum_interface_block.cmp_dma_controller.N_2634") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2635 "gnum_interface_block.cmp_dma_controller.N_2635") (joined @@ -83951,11 +81354,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2638 "gnum_interface_block.cmp_dma_controller.N_2638") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2639 "gnum_interface_block.cmp_dma_controller.N_2639") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_17)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2640 "gnum_interface_block.cmp_dma_controller.N_2640") (joined @@ -83963,7 +81366,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2641 "gnum_interface_block.cmp_dma_controller.N_2641") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2642 "gnum_interface_block.cmp_dma_controller.N_2642") (joined @@ -84027,7 +81430,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2657 "gnum_interface_block.cmp_dma_controller.N_2657") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_lut6_2_o5_31)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_12)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2658 "gnum_interface_block.cmp_dma_controller.N_2658") (joined @@ -84035,11 +81438,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2659 "gnum_interface_block.cmp_dma_controller.N_2659") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2660 "gnum_interface_block.cmp_dma_controller.N_2660") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_11)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2661 "gnum_interface_block.cmp_dma_controller.N_2661") (joined @@ -84063,7 +81466,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2666 "gnum_interface_block.cmp_dma_controller.N_2666") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2667 "gnum_interface_block.cmp_dma_controller.N_2667") (joined @@ -84075,7 +81478,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2669 "gnum_interface_block.cmp_dma_controller.N_2669") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2670 "gnum_interface_block.cmp_dma_controller.N_2670") (joined @@ -84091,7 +81494,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2673 "gnum_interface_block.cmp_dma_controller.N_2673") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2674 "gnum_interface_block.cmp_dma_controller.N_2674") (joined @@ -84099,11 +81502,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2675 "gnum_interface_block.cmp_dma_controller.N_2675") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_lut6_2_o5_6)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2676 "gnum_interface_block.cmp_dma_controller.N_2676") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_20)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2677 "gnum_interface_block.cmp_dma_controller.N_2677") (joined @@ -84111,7 +81514,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2678 "gnum_interface_block.cmp_dma_controller.N_2678") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_10)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2679 "gnum_interface_block.cmp_dma_controller.N_2679") (joined @@ -84119,11 +81522,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2680 "gnum_interface_block.cmp_dma_controller.N_2680") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2681 "gnum_interface_block.cmp_dma_controller.N_2681") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_10)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2682 "gnum_interface_block.cmp_dma_controller.N_2682") (joined @@ -84131,7 +81534,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2683 "gnum_interface_block.cmp_dma_controller.N_2683") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_20)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2684 "gnum_interface_block.cmp_dma_controller.N_2684") (joined @@ -84139,7 +81542,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2685 "gnum_interface_block.cmp_dma_controller.N_2685") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_10)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o6_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2686 "gnum_interface_block.cmp_dma_controller.N_2686") (joined @@ -84147,11 +81550,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2687 "gnum_interface_block.cmp_dma_controller.N_2687") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2688 "gnum_interface_block.cmp_dma_controller.N_2688") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_10)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2689 "gnum_interface_block.cmp_dma_controller.N_2689") (joined @@ -84159,15 +81562,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2690 "gnum_interface_block.cmp_dma_controller.N_2690") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_22)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2691 "gnum_interface_block.cmp_dma_controller.N_2691") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2692 "gnum_interface_block.cmp_dma_controller.N_2692") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_attrib_reg_4_i_m2_lut6_2_o5_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2693 "gnum_interface_block.cmp_dma_controller.N_2693") (joined @@ -84175,23 +81578,23 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2694 "gnum_interface_block.cmp_dma_controller.N_2694") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2695 "gnum_interface_block.cmp_dma_controller.N_2695") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2696 "gnum_interface_block.cmp_dma_controller.N_2696") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_24)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2697 "gnum_interface_block.cmp_dma_controller.N_2697") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_22)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2698 "gnum_interface_block.cmp_dma_controller.N_2698") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2699 "gnum_interface_block.cmp_dma_controller.N_2699") (joined @@ -84207,19 +81610,19 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2702 "gnum_interface_block.cmp_dma_controller.N_2702") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_7)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2703 "gnum_interface_block.cmp_dma_controller.N_2703") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o6_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2704 "gnum_interface_block.cmp_dma_controller.N_2704") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o6_24)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_23)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2705 "gnum_interface_block.cmp_dma_controller.N_2705") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2706 "gnum_interface_block.cmp_dma_controller.N_2706") (joined @@ -84235,15 +81638,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2709 "gnum_interface_block.cmp_dma_controller.N_2709") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_7)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_lut6_2_o5_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2710 "gnum_interface_block.cmp_dma_controller.N_2710") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_len_reg_4_i_m2_lut6_2_o5_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2711 "gnum_interface_block.cmp_dma_controller.N_2711") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nexth_reg_4_i_m2_lut6_2_o5_24)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2712 "gnum_interface_block.cmp_dma_controller.N_2712") (joined @@ -84259,7 +81662,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2715 "gnum_interface_block.cmp_dma_controller.N_2715") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2716 "gnum_interface_block.cmp_dma_controller.N_2716") (joined @@ -84287,7 +81690,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2722 "gnum_interface_block.cmp_dma_controller.N_2722") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o6_27)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2723 "gnum_interface_block.cmp_dma_controller.N_2723") (joined @@ -84303,7 +81706,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2726 "gnum_interface_block.cmp_dma_controller.N_2726") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2727 "gnum_interface_block.cmp_dma_controller.N_2727") (joined @@ -84315,11 +81718,11 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2729 "gnum_interface_block.cmp_dma_controller.N_2729") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstarth_reg_4_i_m2_lut6_2_o5_27)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1_sqmuxa_i_lut6_2_o5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2730 "gnum_interface_block.cmp_dma_controller.N_2730") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_3)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2731 "gnum_interface_block.cmp_dma_controller.N_2731") (joined @@ -84331,7 +81734,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2733 "gnum_interface_block.cmp_dma_controller.N_2733") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_2)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2734 "gnum_interface_block.cmp_dma_controller.N_2734") (joined @@ -84339,7 +81742,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2735 "gnum_interface_block.cmp_dma_controller.N_2735") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_29)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2736 "gnum_interface_block.cmp_dma_controller.N_2736") (joined @@ -84347,7 +81750,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2737 "gnum_interface_block.cmp_dma_controller.N_2737") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_3)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2738 "gnum_interface_block.cmp_dma_controller.N_2738") (joined @@ -84359,7 +81762,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2740 "gnum_interface_block.cmp_dma_controller.N_2740") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_2)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2741 "gnum_interface_block.cmp_dma_controller.N_2741") (joined @@ -84367,7 +81770,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2742 "gnum_interface_block.cmp_dma_controller.N_2742") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o6_30)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_29)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2743 "gnum_interface_block.cmp_dma_controller.N_2743") (joined @@ -84375,7 +81778,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2744 "gnum_interface_block.cmp_dma_controller.N_2744") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o6_1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2745 "gnum_interface_block.cmp_dma_controller.N_2745") (joined @@ -84387,15 +81790,15 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2747 "gnum_interface_block.cmp_dma_controller.N_2747") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_nextl_reg_4_i_m2_lut6_2_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2748 "gnum_interface_block.cmp_dma_controller.N_2748") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_1_sqmuxa_i_lut6_2_o5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2749 "gnum_interface_block.cmp_dma_controller.N_2749") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_cstart_reg_4_i_m2_lut6_2_o5_30)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_1_sqmuxa_i_lut6_2_o5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_cstart_reg_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2750 "gnum_interface_block.cmp_dma_controller.N_2750") (joined @@ -84403,7 +81806,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2751 "gnum_interface_block.cmp_dma_controller.N_2751") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_p_regs_dma_hstartl_reg_4_i_m2_lut6_2_o5_1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstarth_reg_1_sqmuxa_i_lut6_2_o5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_hstartl_reg_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2752 "gnum_interface_block.cmp_dma_controller.N_2752") (joined @@ -84417,7 +81820,6 @@ (net rst_n_a_i_c (joined (portRef O (instanceRef rst_n_a_i_ibuf)) (portRef I (instanceRef rst_n_a_i_ibuf_RNIVM1A)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_r_2)) )) (net rst_n_a_i (joined (portRef rst_n_a_i) @@ -85244,7 +82646,7 @@ )) (net sys_scl_b_in (joined (portRef O (instanceRef sys_scl_b_iobuf)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_capture_scl_sda_cSCL_3_lut6_2_o6_0)) )) (net sys_sda_b (joined (portRef IO (instanceRef sys_sda_b_iobuf)) @@ -85313,9 +82715,9 @@ (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_sclk)) (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1)) (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_3)) - (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o5_2)) - (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) + (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6_RNI2B821_o5)) + (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI5CDT_o5_2)) (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o6_0)) (portRef I0 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_1_RNISCPK_o6)) @@ -85418,8 +82820,6 @@ (portRef G (instanceRef GND)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_config_st_5)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_0)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_83)) (portRef DI (instanceRef cmp_vic_U_Wrapped_VIC_vic_fsm_un6_timeout_count_0_I_43)) (portRef DI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_0_I_19)) (portRef DI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) @@ -85431,6 +82831,8 @@ (portRef DI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_cy_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_0)) + (portRef DI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_0)) + (portRef DI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_cy_0)) (portRef DI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0)) (portRef DI (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_cry_cy_0)) (portRef DI (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_0)) @@ -85496,6 +82898,7 @@ (portRef ADDRA_12 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) (portRef ADDRA_11 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) (portRef ADDRA_10 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (portRef ADDRA_9 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) (portRef ADDRA_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) (portRef ADDRA_7 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) (portRef ADDRA_6 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) @@ -85782,7 +83185,7 @@ (portRef ADDRAWRADDR_2 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef ADDRAWRADDR_1 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef ADDRAWRADDR_0 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_0)) (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_cry_0)) (portRef DI (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_30)) (portRef DI (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_29)) @@ -86071,67 +83474,6 @@ (portRef DI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_3)) (portRef DI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_2)) (portRef DI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_1)) - (portRef DI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_0)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_30)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_29)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_28)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_26)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_25)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_24)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_23)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_22)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_21)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_20)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_18)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_17)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_16)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_15)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_14)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_13)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_12)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_10)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_9)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_8)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_7)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_6)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_4)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_3)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_2)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_1)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_30)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_29)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_28)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_26)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_25)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_24)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_23)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_22)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_21)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_20)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_18)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_17)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_16)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_15)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_14)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_13)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_12)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_10)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_9)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_8)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_7)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_6)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_4)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_3)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_2)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_1)) (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_28)) (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_27)) (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_26)) @@ -86161,66 +83503,6 @@ (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_2)) (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_1)) (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_0)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_30)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_29)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_28)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_26)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_25)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_24)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_23)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_22)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_21)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_20)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_18)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_17)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_16)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_15)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_14)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_13)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_12)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_10)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_9)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_8)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_7)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_6)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_4)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_3)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_2)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_1)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_30)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_29)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_28)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_26)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_25)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_24)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_23)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_22)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_21)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_20)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_18)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_17)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_16)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_15)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_14)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_13)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_12)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_10)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_9)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_8)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_7)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_6)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_4)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_3)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_2)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_1)) (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_cry_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_cry_0)) (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_0)) @@ -86271,8 +83553,6 @@ (portRef DI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_cry_3)) (portRef DI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_cry_2)) (portRef DI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_cry_30)) (portRef DI (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_cry_29)) (portRef DI (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_cry_28)) @@ -86331,15 +83611,15 @@ (portRef DI (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cry_4)) (portRef DI (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cry_3)) (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cry_2)) + (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_6)) + (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_5)) + (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_4)) + (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_3)) + (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_2)) + (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_1)) + (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_cry_0)) - (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_6)) - (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_5)) - (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_4)) - (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_3)) - (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_2)) - (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_1)) - (portRef DI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_cry_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_30)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_29)) @@ -86571,16 +83851,6 @@ (portRef D3 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) (portRef D2 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) (portRef CLK1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) (portRef prog_full_thresh_negate_3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef prog_full_thresh_negate_1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef prog_full_thresh_negate_0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) @@ -86589,22 +83859,45 @@ (portRef prog_full_thresh_assert_0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef din_63 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef din_62 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_75)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_67)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_59)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_51)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_43)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_35)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) (portRef prog_full_thresh_negate_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_negate_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_negate_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_assert_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_assert_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_assert_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef wr_en (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_31 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_30 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_29 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_28 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_27 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_26 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_25 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_24 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_23 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_22 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_21 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_20 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_19 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_18 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_17 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_16 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_15 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_14 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_13 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_12 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_11 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_10 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_9 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) + (portRef din_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_negate_3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_negate_1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_negate_0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_addr_fifo_gen_fifo_32bit_cmp_fifo_32x512)) @@ -86733,45 +84026,56 @@ (portRef stop_dis_o) )) (net (rename address_o_c_0 "address_o_c(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9)) + (portRef O5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o5_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o6_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o5_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o6_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o5_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o6_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o6_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIONDU4_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_2_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIVBHL6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIHA7O6_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22)) (portRef I (instanceRef address_o_obuf_0)) )) (net (rename address_o_0 "address_o(0)") (joined @@ -86779,31 +84083,24 @@ (portRef address_o_0) )) (net (rename address_o_c_1 "address_o_c(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o6_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIONDU4_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22)) (portRef I (instanceRef address_o_obuf_1)) )) (net (rename address_o_1 "address_o(1)") (joined @@ -86812,46 +84109,23 @@ )) (net (rename address_o_c_2 "address_o_c(2)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_RNO_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNILKSU1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o5_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIONDU4_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22)) (portRef I (instanceRef address_o_obuf_2)) )) (net (rename address_o_2 "address_o(2)") (joined @@ -86860,59 +84134,51 @@ )) (net (rename address_o_c_3 "address_o_c(3)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_RNO_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNILKSU1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o5_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o6_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIONDU4_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_2_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIVBHL6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIHA7O6_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22)) (portRef I (instanceRef address_o_obuf_3)) )) (net (rename address_o_3 "address_o(3)") (joined @@ -86935,6 +84201,8 @@ (portRef CI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_cy_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_0)) + (portRef CI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_0)) + (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_cy_0)) (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_cy_0)) (portRef CI (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_cry_cy_0)) (portRef CI (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_0)) @@ -87190,68 +84458,6 @@ (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_cry_2)) (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_cry_1)) (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_cry_0)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0)) (portRef DI (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_cry_2)) (portRef DI (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cry_2)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_cry_22)) @@ -87429,7 +84635,6 @@ (portRef SHIFTIN1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) (portRef OCE (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) (portRef D1 (instanceRef gnum_interface_block_cmp_l2p_ser_cmp_clk_out_loop0_0_loop3_oserdes_m)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) (portRef prog_full_thresh_negate_8 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef prog_full_thresh_negate_7 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef prog_full_thresh_negate_6 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) @@ -87442,7 +84647,6 @@ (portRef prog_full_thresh_assert_5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef prog_full_thresh_assert_4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) (portRef prog_full_thresh_assert_2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_cmp_to_wb_fifo_gen_fifo_64bit_cmp_fifo_64x512)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_0_I_1)) (portRef prog_full_thresh_negate_8 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_negate_7 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) (portRef prog_full_thresh_negate_6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_cmp_data_fifo_gen_fifo_32bit_cmp_fifo_32x512)) @@ -87594,8 +84798,7 @@ (portRef tdc_led_status_o) )) (net tdc_led_trig1_o_c (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_5_0)) + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o6)) (portRef I (instanceRef tdc_led_trig1_o_obuf)) )) (net tdc_led_trig1_o (joined @@ -87654,4948 +84857,5567 @@ (portRef O (instanceRef svec_clk_ibuf)) (portRef I (instanceRef svec_clk_ibuf_cb)) )) - (net (rename gnum_interface_block_cmp_dma_controller_un1_dma_ctrl_carrier_addr_o_0_sqmuxa "gnum_interface_block.cmp_dma_controller.un1_dma_ctrl_carrier_addr_o_0_sqmuxa") (joined - (portRef O5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_error_irq)) - )) - (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_10 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_10)) - )) - (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_0 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_0)) + (net (rename cnx_master_out_0_dat_10 "cnx_master_out_0.dat(10)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o5_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_10)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_10)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_10)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_10)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_10)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_10)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_10)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_10)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2_8(1)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_1)) + (net (rename cnx_master_out_2_dat_10 "cnx_master_out_2.dat(10)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o5_10)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_7)) )) - (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d2_4(0)") (joined - (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) - (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_0)) + (net N_2096 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o5_10)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) )) - (net (rename un1_cmp_sdb_crossbar_1_123 "un1_cmp_sdb_crossbar_1(123)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_19_1_lut6_2_o6_13)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_119 "cmp_tdc.un1_cmp_sdb_crossbar_1(119)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI0MEJ1_o5_3)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_114 "cmp_tdc.un1_cmp_sdb_crossbar_1(114)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_120 "cmp_tdc.un1_cmp_sdb_crossbar_1(120)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_24_1_lut6_2_o5_8)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_10)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_254 "cmp_tdc.un1_cmp_sdb_crossbar_1(254)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIRLEJ1_o6_6)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_10)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_312 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_312") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7Z0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(2)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2)) + (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_10 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(10)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_10)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_128 "cmp_tdc.un1_cmp_sdb_crossbar_1(128)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) + (net (rename gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5_0 "gnum_interface_block.cmp_wbmaster32.wbm_arb_data_o_5(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_0)) )) - (net (rename cnx_master_out_2_dat_5 "cnx_master_out_2.dat(5)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o6_5)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_2)) + (net N_654 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_o2_lut6_2_o5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_118 "cmp_tdc.un1_cmp_sdb_crossbar_1(118)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIEU3M1_o5_8)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1034 "gnum_interface_block.cmp_l2p_dma_master.N_1034") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_12)) )) - (net (rename un1_cmp_sdb_crossbar_1_121 "un1_cmp_sdb_crossbar_1(121)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o5_11)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_11)) + (net N_730 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIF3N71_o5_12)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_12)) )) - (net N_1059 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_4)) + (net N_2312 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_31)) )) - (net (rename cnx_master_out_2_dat_9 "cnx_master_out_2.dat(9)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o6_9)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_6)) + (net N_748 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o6_6)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_31)) )) - (net N_1081 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_6)) + (net N_2314 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_30)) )) - (net N_1114 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_9)) + (net N_754 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o6_15)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_30)) )) - (net N_403 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_15)) + (net N_2319 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_4)) )) - (net N_841 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o5_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) + (net N_2449 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) )) - (net N_411 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_11)) + (net N_2450 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o5_4)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) )) - (net N_847 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o5_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) + (net N_2448 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_4)) )) - (net N_198 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1274 "gnum_interface_block.cmp_l2p_dma_master.N_1274") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_24)) )) - (net N_905 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQTO11_o5_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) + (net N_791 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_16)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) )) - (net N_906 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIL3NR_o5_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) + (net N_792 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIQKCK_o6_4)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) )) - (net N_904 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) + (net N_790 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIC9J71_o5_16)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_24)) )) - (net N_2790 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1354 "gnum_interface_block.cmp_l2p_dma_master.N_1354") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_28)) )) - (net N_909 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIU1P11_o6_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + (net N_702 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIPBN71_o5_25)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_28)) )) - (net N_910 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIJRMR_o5_0)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1094 "gnum_interface_block.cmp_l2p_dma_master.N_1094") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_15)) )) - (net N_908 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNISS9G_o5_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + (net N_718 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIHBN71_o5_15)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_15)) )) - (net N_190 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_5)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_914 "gnum_interface_block.cmp_l2p_dma_master.N_914") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_6)) )) - (net N_913 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIKGL11_o5_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) + (net N_736 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI4QP11_o5_6)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_6)) )) - (net N_914 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNITDP11_o6_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) + (net N_550 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_24)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o5_9)) )) - (net N_912 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) + (net N_543 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_17)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o6)) )) - (net N_688 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o5)) + (net N_2350 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o5)) )) - (net N_3362 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o6)) + (net N_538 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_12)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o5)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_27 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(27)") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_29 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(29)") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1466 "gnum_interface_block.cmp_p2l_dma_master.N_1466") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_17)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1461 "gnum_interface_block.cmp_p2l_dma_master.N_1461") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o5)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_24 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1463 "gnum_interface_block.cmp_p2l_dma_master.N_1463") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_14_RNI43NL1_o5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_23 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_26 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(26)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1462 "gnum_interface_block.cmp_p2l_dma_master.N_1462") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_13)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_23)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1458 "gnum_interface_block.cmp_p2l_dma_master.N_1458") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_25)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_22 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_22)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1461 "gnum_interface_block.cmp_p2l_dma_master.N_1461") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1454 "gnum_interface_block.cmp_p2l_dma_master.N_1454") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_12)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_23)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_22)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_21 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(21)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_22)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1453 "gnum_interface_block.cmp_p2l_dma_master.N_1453") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_11)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_22)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_17 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_17)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1456 "gnum_interface_block.cmp_p2l_dma_master.N_1456") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1449 "gnum_interface_block.cmp_p2l_dma_master.N_1449") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_7_RNI2GPE1_o5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_16 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1455 "gnum_interface_block.cmp_p2l_dma_master.N_1455") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_16)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_18)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_15 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(15)") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_15)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1454 "gnum_interface_block.cmp_p2l_dma_master.N_1454") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1447 "gnum_interface_block.cmp_p2l_dma_master.N_1447") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_5)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_16)) )) - (net (rename cmp_tdc_tdc_core_N_1890 "cmp_tdc.tdc_core.N_1890") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_0)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_13 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(13)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_14)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13)) )) - (net (rename cnx_master_out_2_dat_0 "cnx_master_out_2.dat(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_green_int)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1445 "gnum_interface_block.cmp_p2l_dma_master.N_1445") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_3)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_14)) )) - (net N_3369 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o6)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_12 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(12)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_11)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_480 "gnum_interface_block.cmp_p2l_dma_master.N_480") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1444 "gnum_interface_block.cmp_p2l_dma_master.N_1444") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_2)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_11)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6Z0Z_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(4)") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_4)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_4)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3Z0Z_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_header_3(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_4)) + (net (rename cnx_master_out_2_dat_7 "cnx_master_out_2.dat(7)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFA9O_o6_10)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_4)) + )) + (net N_2053 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o6_21)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) + )) + (net (rename cnx_master_out_2_dat_6 "cnx_master_out_2.dat(6)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI5P7L_o6_8)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_3)) + )) + (net (rename cnx_master_out_2_dat_1 "cnx_master_out_2.dat(1)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIIEAO_o6_19)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_red_int)) + )) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d2_4(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNI76V61_0)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_0)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(0)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIOJO81_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_0)) + )) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_115 "cmp_tdc.un1_cmp_sdb_crossbar_1(115)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIFFGM1_o5_6)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_5)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(1)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(6)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_6)) + )) + (net (rename cnx_master_out_2_dat_17 "cnx_master_out_2.dat(17)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI20CR_o6_12)) + (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_14)) + )) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_128 "cmp_tdc.un1_cmp_sdb_crossbar_1(128)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) + )) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_127 "cmp_tdc.un1_cmp_sdb_crossbar_1(127)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_1)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(2)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIQJO81_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2)) + )) + (net (rename gnum_interface_block_cmp_dma_controller_un1_dma_ctrl_carrier_addr_o_0_sqmuxa "gnum_interface_block.cmp_dma_controller.un1_dma_ctrl_carrier_addr_o_0_sqmuxa") (joined + (portRef O5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_error_irq)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2310 "gnum_interface_block.cmp_dma_controller.N_2310") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIR8LD1_o5_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_4)) )) - (net N_1402 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld_RNO)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld)) - )) - (net N_1392 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln_RNO)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln)) - )) - (net N_1382 (joined + (net N_733 (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mrd_RNO)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mrd)) )) - (net N_1372 (joined + (net N_723 (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr_RNO)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_target_mwr)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_12 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_12)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1451 "gnum_interface_block.cmp_p2l_dma_master.N_1451") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o6_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_125 "gnum_interface_block.cmp_p2l_dma_master.N_125") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_lut6_2_o6_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_1)) - )) - (net N_683 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_7)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o5)) + (net N_743 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln_RNO)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpln)) )) - (net N_682 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_6)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o5)) + (net N_753 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld_RNO)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_decode32_master_cpld)) )) - (net N_681 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o6)) + (net (rename cnx_master_out_0_dat_18 "cnx_master_out_0.dat(18)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o5_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_18)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_18)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_18)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_18)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_18)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_18)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_18)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_18)) )) - (net N_3367 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o5)) + (net (rename cnx_master_out_0_dat_22 "cnx_master_out_0.dat(22)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIU3BR_o6_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_22)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_22)) )) - (net N_3360 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o5)) + (net (rename cnx_master_out_0_dat_29 "cnx_master_out_0.dat(29)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o6_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_29)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_29)) )) - (net N_649 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o6)) + (net (rename cnx_master_out_0_dat_13 "cnx_master_out_0.dat(13)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI68CR_o6_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_13)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_13)) )) - (net N_636 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o5)) + (net (rename cnx_master_out_0_dat_9 "cnx_master_out_0.dat(9)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNILE9O_o6_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_9)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_9)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_11 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.l2p_len_cnt_6(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_12)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) + (net (rename cnx_master_out_0_dat_26 "cnx_master_out_0.dat(26)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o6_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_26)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_26)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1450 "gnum_interface_block.cmp_p2l_dma_master.N_1450") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_lut6_2_o5_12)) + (net (rename cnx_master_out_0_dat_0 "cnx_master_out_0.dat(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_0)) + (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_0)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_112 "cmp_tdc.un1_cmp_sdb_crossbar_1(112)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3U3M1_o6_1)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) + (net N_2112 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIDM9O_o5_13)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_110 "cmp_tdc.un1_cmp_sdb_crossbar_1(110)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) + (net N_168_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o6_27)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_10)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_3") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) + (net (rename gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid "gnum_interface_block.cmp_l2p_arbiter.wbm_arb_req_valid") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_wbm_gnt)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_111 "cmp_tdc.un1_cmp_sdb_crossbar_1(111)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1U3M1_o6_1)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS83D2_4)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIT83D2_5)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIU83D2_6)) + (net N_2388 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_un6_pdm_arb_req_valid_i_i_a5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_117 "cmp_tdc.un1_cmp_sdb_crossbar_1(117)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIULEJ1_o5_7)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.gen_cr.cr_7(5)") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_5)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_312 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_312") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_3)) + (net N_6_1 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICA9O_o6_10)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_291 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_291") (joined (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_274 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_274") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1254 "gnum_interface_block.cmp_l2p_dma_master.N_1254") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_23)) )) - (net (rename cnx_master_out_2_dat_1 "cnx_master_out_2.dat(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIFU9O_o6_25)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_led_red_int)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1234 "gnum_interface_block.cmp_l2p_dma_master.N_1234") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_22)) )) - (net N_1092 (joined - (portRef LO (instanceRef cmp_carrier_csr_rddata_reg_RNO_7)) - (portRef D (instanceRef cmp_carrier_csr_rddata_reg_7)) + (net N_477 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIB2PD_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_123 "cmp_tdc.un1_cmp_sdb_crossbar_1(123)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_13)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1214 "gnum_interface_block.cmp_l2p_dma_master.N_1214") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_21)) )) - (net (rename un1_cmp_sdb_crossbar_1_128 "un1_cmp_sdb_crossbar_1(128)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o5_6)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1074 "gnum_interface_block.cmp_l2p_dma_master.N_1074") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_14)) )) - (net (rename cnx_master_out_2_dat_18 "cnx_master_out_2.dat(18)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_15)) + (net N_715 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIJ7N71_o5_14)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_14)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_135 "cmp_tdc.un1_cmp_sdb_crossbar_1(135)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_9)) + (net N_2320 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_5)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_125 "cmp_tdc.un1_cmp_sdb_crossbar_1(125)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_15_1_lut6_2_o5_17)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_15)) + (net N_2321 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_0)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_119 "cmp_tdc.un1_cmp_sdb_crossbar_1(119)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_9)) + (net N_2452 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o6_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) )) - (net (rename gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid "gnum_interface_block.cmp_l2p_arbiter.wbm_arb_req_valid") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_wbm_gnt)) - (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (net N_2453 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o6_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) )) - (net N_706 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o5_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (net N_2454 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNI5O0F1_o6_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_5)) )) - (net N_896 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (net N_2456 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o6_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) )) - (net N_895 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_wbm_arb_req_valid_0_a7_0_a6_0_a5_lut6_2_o5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (net N_2457 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o6_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) )) - (net N_898 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (net N_2458 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o6_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0)) )) - (net N_897 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_1_lut6_2_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (net N_2313 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_29)) )) - (net N_901 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_req_o_0_sqmuxa_i_i_o6_i_o2_0_lut6_2_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1194 "gnum_interface_block.cmp_l2p_dma_master.N_1194") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_20)) )) - (net N_3501 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) + (net N_751 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIR7N71_o5_19)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_29)) )) - (net N_629 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_o6_6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1014 "gnum_interface_block.cmp_l2p_dma_master.N_1014") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_11)) )) - (net N_3502 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_6)) + (net N_727 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o5_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_11)) )) - (net N_3506 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1054 "gnum_interface_block.cmp_l2p_dma_master.N_1054") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_13)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_12_2 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.irq_i_d2_12(2)") (joined - (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIC6V61_2)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_2)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (net N_2440 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o5_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_13)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8Z0Z_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d2_8(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1)) - (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_1)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_934 "gnum_interface_block.cmp_l2p_dma_master.N_934") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_7)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_0)) - (portRef CI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_0)) + (net N_739 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_7)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_rdat_int_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.rdat_int(4)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_4)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_954 "gnum_interface_block.cmp_l2p_dma_master.N_954") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_8)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_rdat_int_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.rdat_int(3)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_3)) + (net N_742 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIL4SR_o5_5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_8)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_rdat_int_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.rdat_int(2)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o5_2)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_2)) + (net N_2318 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_rdat_int_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.rdat_int(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_1)) + (net N_2445 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIFCRR_o5_3)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_rdat_int_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.rdat_int(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_0)) + (net N_2446 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o5_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) )) - (net (rename un1_cmp_sdb_crossbar_1_112 "un1_cmp_sdb_crossbar_1(112)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o6_2)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) + (net N_765 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIPJNR_o5_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_3)) )) - (net (rename un1_cmp_sdb_crossbar_1_111 "un1_cmp_sdb_crossbar_1(111)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIBE9O_o6_11)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) + (net N_2322 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_datZ0Z_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat_4") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) + (net N_2461 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIRTO11_o6_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) )) - (net (rename cnx_master_out_2_dat_11 "cnx_master_out_2.dat(11)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o6_11)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_8)) + (net N_2462 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIRS9G_o5_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_140 "cmp_tdc.un1_cmp_sdb_crossbar_1(140)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_14)) + (net N_2460 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_1)) )) - (net (rename cnx_master_out_2_dat_30 "cnx_master_out_2.dat(30)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o5_5)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_27)) + (net N_449 (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_2)) )) - (net (rename cnx_master_out_2_dat_4 "cnx_master_out_2.dat(4)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o6_4)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_1)) + (net N_2465 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIQ1P11_o6_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + )) + (net N_2466 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNIVS9G_o6_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + )) + (net N_2464 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o6_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_2)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_31 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(31)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_31)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_31)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_30 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(30)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_30)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_30)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_29 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(29)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_29)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_29)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_28 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(28)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_28)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_28)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_27 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(27)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_27)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_27)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_26 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(26)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_26)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_26)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_25 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(25)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_25)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_25)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_24 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(24)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_24)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_24)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_23 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(23)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_23)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_23)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_22 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(22)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_22)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_22)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_21 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(21)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o5_21)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_21)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_20 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(20)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_20)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_20)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_56_19 "cmp_vic.U_Wrapped_VIC.U_wb_controller.rddata_reg_56(19)") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_19)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_19)) + )) + (net N_38_1 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o6_12)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) + )) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_141 "cmp_tdc.un1_cmp_sdb_crossbar_1(141)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_13_1_lut6_2_o5_19)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_o_15)) + )) + (net (rename cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8Z0Z_1 "cmp_dma_eic.eic_irq_controller_inst.irq_i_d2_8(1)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_8_1)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_1)) + )) + (net (rename cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4Z0Z_0 "cmp_dma_eic.eic_irq_controller_inst.loop0.irq_i_d2_4(0)") (joined + (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_i_d2_4_0)) + (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cycZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_3") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_3") (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) )) - (net (rename cnx_master_out_2_dat_27 "cnx_master_out_2.dat(27)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o6_27)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1551 "gnum_interface_block.cmp_p2l_dma_master.N_1551") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_14)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_14)) + (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d2_8_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d2_8(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d1_RNIA6V61_1)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d2_1)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1)) )) - (net N_879 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI06P11_o5_3)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_112 "cmp_tdc.un1_cmp_sdb_crossbar_1(112)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_ovd)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_2)) )) - (net N_880 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIICL11_o5_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_111 "cmp_tdc.un1_cmp_sdb_crossbar_1(111)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o5_3)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI479G2_4)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI679G2_6)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI579G2_5)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS4Q83_3)) )) - (net N_899 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_a5_lut6_2_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_110 "cmp_tdc.un1_cmp_sdb_crossbar_1(110)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_0)) )) - (net N_608 (joined - (portRef O6 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cycZ0Z_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_cyc_3") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) )) - (net N_462 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_0_lut6_2_o5_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_113 "cmp_tdc.un1_cmp_sdb_crossbar_1(113)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI8U3M1_o6_6)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_3)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) )) - (net N_467 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_ldm_arb_dframe_o_0_sqmuxa_2_i_s_0_0_o5_lut6_2_RNIS6J)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o_e)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_datZ0Z_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_dat_4") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) )) - (net (rename cmp_tdc_tdc_core_N_2784 "cmp_tdc.tdc_core.N_2784") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO)) - (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_117 "cmp_tdc.un1_cmp_sdb_crossbar_1(117)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o6_3)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_ena)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_7)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_8 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(8)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6)) + (net (rename cmp_tdc_tdc_core_roll_over_incr_recent_1 "cmp_tdc.tdc_core.roll_over_incr_recent_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o6_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2)) )) (net (rename cmp_tdc_tdc_core_roll_over_incr_recent_24 "cmp_tdc.tdc_core.roll_over_incr_recent_24") (joined (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_2_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_RNO_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNILKSU1)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_1 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o26_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o6)) - )) - (net (rename cmp_tdc_tdc_core_N_3770 "cmp_tdc.tdc_core.N_3770") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12_RNO)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) )) - (net (rename cmp_tdc_tdc_core_N_639 "cmp_tdc.tdc_core.N_639") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) + (net (rename cmp_tdc_tdc_core_N_817 "cmp_tdc.tdc_core.N_817") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o5_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18)) + )) + (net (rename cmp_tdc_tdc_core_N_364 "cmp_tdc.tdc_core.N_364") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIHA7O6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_2 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o23_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (net (rename cmp_tdc_tdc_core_N_4320 "cmp_tdc.tdc_core.N_4320") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o24_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_8)) + (net (rename cmp_tdc_tdc_core_N_4315 "cmp_tdc.tdc_core.N_4315") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11)) )) - (net (rename cmp_tdc_tdc_core_N_1227 "cmp_tdc.tdc_core.N_1227") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) + (net (rename cmp_tdc_tdc_core_N_4316 "cmp_tdc.tdc_core.N_4316") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22)) )) - (net (rename cmp_tdc_tdc_core_N_1532 "cmp_tdc.tdc_core.N_1532") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) + (net (rename cmp_tdc_tdc_core_N_823 "cmp_tdc.tdc_core.N_823") (joined + (portRef O5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_6 "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_2_6") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNILKSU1)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_oZ0Z_7 "cmp_tdc.tdc_core.data_engine_block_acam_adr_o(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNILKSU1)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cycZ0 "cmp_tdc.tdc_core.data_engine_block.data_readback_decoder.un19_acam_cyc") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_6 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o21_6") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_RNO_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (net (rename cmp_tdc_tdc_core_N_820 "cmp_tdc.tdc_core.N_820") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o27") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i "cmp_tdc.tdc_core.data_engine_block.data_engine_fsm_comb.un15_acam_ack_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11)) + (net (rename cmp_tdc_tdc_core_acam_config_3_m_21 "cmp_tdc.tdc_core.acam_config_3_m(21)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o6_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o26") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (net (rename cmp_tdc_tdc_core_N_825 "cmp_tdc.tdc_core.N_825") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o18") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_oZ0Z19 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o19") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22)) - )) - (net (rename cmp_tdc_tdc_core_N_711 "cmp_tdc.tdc_core.N_711") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (net (rename cmp_tdc_tdc_core_N_819 "cmp_tdc.tdc_core.N_819") (joined + (portRef O5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7)) + )) + (net (rename cmp_tdc_tdc_core_N_821 "cmp_tdc.tdc_core.N_821") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22)) + )) + (net (rename cmp_tdc_tdc_core_N_816 "cmp_tdc.tdc_core.N_816") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_7 "cmp_tdc.tdc_core.acam_config_5_m(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_oZ0Z23 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o23") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) + (net (rename cmp_tdc_tdc_core_acam_config_3_m_20 "cmp_tdc.tdc_core.acam_config_3_m(20)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o5_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o25") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (net (rename cmp_tdc_tdc_core_acam_config_3_m_6 "cmp_tdc.tdc_core.acam_config_3_m(6)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_oZ0Z20 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o20") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o21") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o26_lut6_2_o5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o24") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (net (rename cmp_tdc_tdc_core_N_438 "cmp_tdc.tdc_core.N_438") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_6)) )) - (net (rename cmp_tdc_tdc_core_N_577 "cmp_tdc.tdc_core.N_577") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (net (rename cmp_tdc_tdc_core_N_940 "cmp_tdc.tdc_core.N_940") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_6)) )) - (net (rename cmp_tdc_tdc_core_acam_data_block_N_99 "cmp_tdc.tdc_core.acam_data_block.N_99") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + (net (rename cmp_tdc_tdc_core_N_1626 "cmp_tdc.tdc_core.N_1626") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_6)) )) - (net (rename cmp_tdc_tdc_core_N_650 "cmp_tdc.tdc_core.N_650") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) + (net (rename cmp_tdc_tdc_core_N_1516 "cmp_tdc.tdc_core.N_1516") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) )) - (net (rename cmp_tdc_tdc_core_N_646 "cmp_tdc.tdc_core.N_646") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o6_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o5)) + (net (rename cmp_tdc_tdc_core_N_178 "cmp_tdc.tdc_core.N_178") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_18)) + )) + (net (rename cmp_tdc_tdc_core_N_447 "cmp_tdc.tdc_core.N_447") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_12_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_18)) + )) + (net (rename cmp_tdc_tdc_core_N_448 "cmp_tdc.tdc_core.N_448") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_18)) + )) + (net (rename cmp_tdc_tdc_core_N_370 "cmp_tdc.tdc_core.N_370") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_6_i_0 "cmp_tdc.tdc_core.data_engine_block.data_config_decoder.acam_dat_o21_6_i_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI1SG02_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o5_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_10_lut6_2_o6_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o5_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o6_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o5_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o6_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o5_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o6_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIN99C6_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o5_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_2_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIVBHL6_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIHA7O6_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22)) + )) + (net (rename cmp_tdc_tdc_core_N_369 "cmp_tdc.tdc_core.N_369") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_2_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIVBHL6_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIHA7O6_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_iZ0 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un34_acam_ack_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + (net (rename cmp_tdc_tdc_core_N_641 "cmp_tdc.tdc_core.N_641") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_8_1 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_8(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_1)) + (net (rename cmp_tdc_tdc_core_acam_config_3_m_0 "cmp_tdc.tdc_core.acam_config_3_m(0)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o5_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_8_3 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_8(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_3)) + (net (rename cmp_tdc_tdc_core_N_3357 "cmp_tdc.tdc_core.N_3357") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0)) )) - (net (rename cmp_tdc_tdc_core_N_692 "cmp_tdc.tdc_core.N_692") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o5_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_4)) + (net (rename cmp_tdc_tdc_core_N_4126 "cmp_tdc.tdc_core.N_4126") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_0)) )) - (net (rename cmp_tdc_tdc_core_N_693 "cmp_tdc.tdc_core.N_693") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o3_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_4)) + (net (rename cmp_tdc_tdc_core_N_4163 "cmp_tdc.tdc_core.N_4163") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_0)) )) - (net (rename cmp_tdc_tdc_core_N_694 "cmp_tdc.tdc_core.N_694") (joined - (portRef O5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o5_3)) + (net (rename cmp_tdc_tdc_core_N_390 "cmp_tdc.tdc_core.N_390") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_3_i_o2_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_15)) )) - (net (rename cmp_tdc_tdc_core_N_640 "cmp_tdc.tdc_core.N_640") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) + (net (rename cmp_tdc_tdc_core_N_444 "cmp_tdc.tdc_core.N_444") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_1)) )) - (net (rename cmp_tdc_tdc_core_N_695 "cmp_tdc.tdc_core.N_695") (joined - (portRef O6 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_lut6_2_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_engine_st_3_i_a2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1)) + (net (rename cmp_tdc_tdc_core_N_445 "cmp_tdc.tdc_core.N_445") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) )) - (net (rename cmp_tdc_tdc_core_N_688 "cmp_tdc.tdc_core.N_688") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0)) + (net (rename cmp_tdc_tdc_core_N_3778 "cmp_tdc.tdc_core.N_3778") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) )) - (net (rename cmp_tdc_tdc_core_N_675 "cmp_tdc.tdc_core.N_675") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_2_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7)) + (net (rename cmp_tdc_tdc_core_N_392 "cmp_tdc.tdc_core.N_392") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNID35O1_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIA4D58_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_lut6_2_o5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIN2JM8_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i_a2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i_a2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i_a2)) + )) + (net (rename cmp_tdc_tdc_core_N_368 "cmp_tdc.tdc_core.N_368") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1)) + )) + (net (rename cmp_tdc_tdc_core_N_473 "cmp_tdc.tdc_core.N_473") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNICPE52_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o5_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIHH2U7_o6_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o5_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o6_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o5_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o6_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22)) + )) + (net (rename cmp_tdc_tdc_core_N_362 "cmp_tdc.tdc_core.N_362") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIUHSI1_o6_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) )) - (net (rename cmp_tdc_tdc_core_N_674 "cmp_tdc.tdc_core.N_674") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o6_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_7)) + (net (rename cmp_tdc_tdc_core_N_692 "cmp_tdc.tdc_core.N_692") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) )) - (net (rename cmp_tdc_tdc_core_N_701 "cmp_tdc.tdc_core.N_701") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o5_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) + (net (rename cmp_tdc_tdc_core_N_652 "cmp_tdc.tdc_core.N_652") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_0_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) )) - (net (rename cmp_tdc_tdc_core_N_2582 "cmp_tdc.tdc_core.N_2582") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_1_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) + (net (rename cmp_tdc_tdc_core_N_695 "cmp_tdc.tdc_core.N_695") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o5_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_1_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1)) )) - (net (rename cmp_tdc_tdc_core_acm_stb "cmp_tdc.tdc_core.acm_stb") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o5_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) + (net (rename cmp_tdc_tdc_core_N_642 "cmp_tdc.tdc_core.N_642") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_i_o2_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2)) )) - (net (rename cmp_tdc_tdc_core_N_2557 "cmp_tdc.tdc_core.N_2557") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o6_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0)) + (net (rename cmp_tdc_tdc_core_N_1520 "cmp_tdc.tdc_core.N_1520") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) )) - (net (rename cmp_tdc_tdc_core_N_206 "cmp_tdc.tdc_core.N_206") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIPUMQ1_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11)) + (net (rename cmp_tdc_tdc_core_N_694 "cmp_tdc.tdc_core.N_694") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNIQC2N_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_19 "cmp_tdc.tdc_core.acam_config_6_m(19)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19)) + (net (rename cmp_tdc_tdc_core_N_47_0 "cmp_tdc.tdc_core.N_47_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_0_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2)) )) - (net (rename cmp_tdc_tdc_core_acam_config_4_m_23 "cmp_tdc.tdc_core.acam_config_4_m(23)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) + (net (rename cmp_tdc_tdc_core_N_1715 "cmp_tdc.tdc_core.N_1715") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o5_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_10)) )) - (net (rename cmp_tdc_tdc_core_N_1491 "cmp_tdc.tdc_core.N_1491") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) + (net (rename cmp_tdc_tdc_core_N_4219 "cmp_tdc.tdc_core.N_4219") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o6_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_19)) )) - (net (rename cmp_tdc_tdc_core_N_1124 "cmp_tdc.tdc_core.N_1124") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) + (net (rename cmp_tdc_tdc_core_N_4177 "cmp_tdc.tdc_core.N_4177") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_19)) )) - (net (rename cmp_tdc_tdc_core_N_4104 "cmp_tdc.tdc_core.N_4104") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_31)) + (net (rename cmp_tdc_tdc_core_N_423 "cmp_tdc.tdc_core.N_423") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_i_m2_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_19)) )) - (net (rename cmp_tdc_tdc_core_N_4103 "cmp_tdc.tdc_core.N_4103") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_30)) + (net (rename cmp_tdc_tdc_core_N_415 "cmp_tdc.tdc_core.N_415") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o6_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_19)) )) - (net (rename cmp_tdc_tdc_core_N_4100 "cmp_tdc.tdc_core.N_4100") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_31)) + (net (rename cmp_tdc_tdc_core_N_1121 "cmp_tdc.tdc_core.N_1121") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) )) - (net (rename cmp_tdc_tdc_core_N_4099 "cmp_tdc.tdc_core.N_4099") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_30)) + (net (rename cmp_tdc_tdc_core_N_1488 "cmp_tdc.tdc_core.N_1488") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) )) - (net (rename cmp_tdc_tdc_core_N_1480 "cmp_tdc.tdc_core.N_1480") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_19)) + (net (rename cmp_tdc_tdc_core_N_1552 "cmp_tdc.tdc_core.N_1552") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) )) - (net (rename cmp_tdc_tdc_core_N_1512 "cmp_tdc.tdc_core.N_1512") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_19)) + (net (rename cmp_tdc_tdc_core_N_1775 "cmp_tdc.tdc_core.N_1775") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_25)) )) - (net (rename cmp_tdc_tdc_core_N_1492 "cmp_tdc.tdc_core.N_1492") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) + (net (rename cmp_tdc_tdc_core_N_1748 "cmp_tdc.tdc_core.N_1748") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_RNIE7RQ3_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_12)) )) - (net (rename cmp_tdc_tdc_core_N_1125 "cmp_tdc.tdc_core.N_1125") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_31)) + (net (rename cmp_tdc_tdc_core_N_2550 "cmp_tdc.tdc_core.N_2550") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIUCID_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_RNIK1QL1_19)) )) - (net (rename cmp_tdc_tdc_core_N_1113 "cmp_tdc.tdc_core.N_1113") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) + (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_8_1 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_8(1)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_1)) )) - (net (rename cmp_tdc_tdc_core_N_1079 "cmp_tdc.tdc_core.N_1079") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_19)) + (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_c_8_3 "cmp_tdc.tdc_core.data_engine_block.config_adr_c_8(3)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_3)) )) - (net (rename cmp_tdc_tdc_core_N_951 "cmp_tdc.tdc_core.N_951") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_19)) + (net (rename cmp_tdc_tdc_core_N_2830_1 "cmp_tdc.tdc_core.N_2830_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_7)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_2 "cmp_tdc.tdc_core.acam_config_6_m(2)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2)) + (net (rename cmp_tdc_tdc_core_N_4346 "cmp_tdc.tdc_core.N_4346") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_o2_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_5 "cmp_tdc.tdc_core.acam_config_6_m(5)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5)) + (net (rename cmp_tdc_tdc_core_N_622 "cmp_tdc.tdc_core.N_622") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) )) - (net (rename cmp_tdc_tdc_core_N_1078 "cmp_tdc.tdc_core.N_1078") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_18)) + (net (rename cmp_tdc_tdc_core_N_621_2 "cmp_tdc.tdc_core.N_621_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) )) - (net (rename cmp_tdc_tdc_core_N_178 "cmp_tdc.tdc_core.N_178") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20)) + (net (rename cmp_tdc_tdc_core_N_387 "cmp_tdc.tdc_core.N_387") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNI2D3M_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0)) + )) + (net (rename cmp_tdc_tdc_core_N_2305 "cmp_tdc.tdc_core.N_2305") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_3)) + )) + (net (rename cmp_tdc_tdc_core_N_2318 "cmp_tdc.tdc_core.N_2318") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNII163_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e_RNO_0)) )) - (net (rename cmp_tdc_tdc_core_N_1075 "cmp_tdc.tdc_core.N_1075") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_27 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(27)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50)) )) - (net (rename cmp_tdc_tdc_core_N_947 "cmp_tdc.tdc_core.N_947") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_28 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(28)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50)) )) - (net (rename cmp_tdc_tdc_core_N_984 "cmp_tdc.tdc_core.N_984") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_20)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_29 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(29)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50)) )) - (net (rename cmp_tdc_tdc_core_N_1146 "cmp_tdc.tdc_core.N_1146") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_20)) + (net (rename cmp_tdc_tdc_core_N_2399 "cmp_tdc.tdc_core.N_2399") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_RNO_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1)) )) - (net (rename cmp_tdc_tdc_core_N_1122 "cmp_tdc.tdc_core.N_1122") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_28)) + (net (rename cmp_tdc_tdc_core_N_1641 "cmp_tdc.tdc_core.N_1641") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_21)) )) - (net (rename cmp_tdc_tdc_core_N_2340 "cmp_tdc.tdc_core.N_2340") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o6_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_25)) + (net (rename cmp_tdc_tdc_core_N_3378 "cmp_tdc.tdc_core.N_3378") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_21)) )) - (net (rename cmp_tdc_tdc_core_N_2341 "cmp_tdc.tdc_core.N_2341") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_25)) + (net (rename cmp_tdc_tdc_core_N_1805 "cmp_tdc.tdc_core.N_1805") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) )) - (net (rename cmp_tdc_tdc_core_N_1477 "cmp_tdc.tdc_core.N_1477") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_16)) + (net (rename cmp_tdc_tdc_core_N_1771 "cmp_tdc.tdc_core.N_1771") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_21)) )) - (net (rename cmp_tdc_tdc_core_N_1509 "cmp_tdc.tdc_core.N_1509") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_16)) + (net (rename cmp_tdc_tdc_core_N_1782 "cmp_tdc.tdc_core.N_1782") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_8)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_28)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11)) )) - (net (rename cmp_tdc_tdc_core_N_1474 "cmp_tdc.tdc_core.N_1474") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) + (net (rename cmp_tdc_tdc_core_N_1501 "cmp_tdc.tdc_core.N_1501") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) )) - (net (rename cmp_tdc_tdc_core_N_1107 "cmp_tdc.tdc_core.N_1107") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) + (net (rename cmp_tdc_tdc_core_N_1228 "cmp_tdc.tdc_core.N_1228") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_6)) )) (net (rename cmp_tdc_tdc_core_N_1469 "cmp_tdc.tdc_core.N_1469") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) )) (net (rename cmp_tdc_tdc_core_N_1102 "cmp_tdc.tdc_core.N_1102") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) - )) - (net (rename cmp_tdc_tdc_core_N_1110 "cmp_tdc.tdc_core.N_1110") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_16)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_6)) )) - (net (rename cmp_tdc_tdc_core_N_1111 "cmp_tdc.tdc_core.N_1111") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) + (net (rename cmp_tdc_tdc_core_N_1533 "cmp_tdc.tdc_core.N_1533") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) )) - (net (rename cmp_tdc_tdc_core_N_1500 "cmp_tdc.tdc_core.N_1500") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) + (net (rename cmp_tdc_tdc_core_N_1756 "cmp_tdc.tdc_core.N_1756") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_6)) )) - (net (rename cmp_tdc_tdc_core_N_1112 "cmp_tdc.tdc_core.N_1112") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_18)) + (net (rename cmp_tdc_tdc_core_N_1117 "cmp_tdc.tdc_core.N_1117") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) )) - (net (rename cmp_tdc_tdc_core_N_1101 "cmp_tdc.tdc_core.N_1101") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) + (net (rename cmp_tdc_tdc_core_N_1243 "cmp_tdc.tdc_core.N_1243") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) )) - (net (rename cmp_tdc_tdc_core_N_1481 "cmp_tdc.tdc_core.N_1481") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_20)) + (net (rename cmp_tdc_tdc_core_N_1484 "cmp_tdc.tdc_core.N_1484") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) )) - (net (rename cmp_tdc_tdc_core_N_1513 "cmp_tdc.tdc_core.N_1513") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_20)) + (net (rename cmp_tdc_tdc_core_N_1548 "cmp_tdc.tdc_core.N_1548") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) )) - (net (rename cmp_tdc_tdc_core_N_1114 "cmp_tdc.tdc_core.N_1114") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) + (net (rename cmp_tdc_tdc_core_N_405 "cmp_tdc.tdc_core.N_405") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_RNINL5F1_o6_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16)) )) - (net (rename cmp_tdc_tdc_core_N_1746 "cmp_tdc.tdc_core.N_1746") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI7RMM1_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) + (net (rename cmp_tdc_tdc_core_N_435 "cmp_tdc.tdc_core.N_435") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o5_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16)) )) - (net (rename cmp_tdc_tdc_core_N_1106 "cmp_tdc.tdc_core.N_1106") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_12)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_N_72 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_N_72") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3)) )) - (net (rename cmp_tdc_tdc_core_N_1096 "cmp_tdc.tdc_core.N_1096") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_2)) + (net (rename cmp_tdc_tdc_core_N_1563 "cmp_tdc.tdc_core.N_1563") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) )) - (net (rename cmp_tdc_tdc_core_N_1123 "cmp_tdc.tdc_core.N_1123") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_29)) + (net (rename cmp_tdc_tdc_core_N_1254 "cmp_tdc.tdc_core.N_1254") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIIJL22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_20)) + )) + (net (rename cmp_tdc_tdc_core_N_1559 "cmp_tdc.tdc_core.N_1559") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o6_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) )) - (net (rename cmp_tdc_tdc_core_N_1485 "cmp_tdc.tdc_core.N_1485") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) + (net (rename cmp_tdc_tdc_core_N_3619 "cmp_tdc.tdc_core.N_3619") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_10)) )) - (net (rename cmp_tdc_tdc_core_N_1118 "cmp_tdc.tdc_core.N_1118") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) + (net (rename cmp_tdc_tdc_core_N_2443 "cmp_tdc.tdc_core.N_2443") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18)) )) - (net (rename cmp_tdc_tdc_core_N_1119 "cmp_tdc.tdc_core.N_1119") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) + (net (rename cmp_tdc_tdc_core_N_2440 "cmp_tdc.tdc_core.N_2440") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIKQUB1_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_18)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_6 "cmp_tdc.tdc_core.acam_config_5_m(6)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) + (net (rename cmp_tdc_tdc_core_N_2448 "cmp_tdc.tdc_core.N_2448") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIEIKE_o6_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_18)) )) - (net (rename cmp_tdc_tdc_core_N_1503 "cmp_tdc.tdc_core.N_1503") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_10)) + (net (rename cmp_tdc_tdc_core_N_54_0 "cmp_tdc.tdc_core.N_54_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_18)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_14 "cmp_tdc.tdc_core.acam_config_5_m(14)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14)) + (net (rename cmp_tdc_tdc_core_N_55_0 "cmp_tdc.tdc_core.N_55_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_15 "cmp_tdc.tdc_core.acam_config_5_m(15)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15)) + (net (rename cmp_tdc_tdc_core_N_1684 "cmp_tdc.tdc_core.N_1684") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIF8PC1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_10)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_7)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_15)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_19)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_16 "cmp_tdc.tdc_core.acam_config_5_m(16)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16)) + (net (rename cmp_tdc_tdc_core_N_1816 "cmp_tdc.tdc_core.N_1816") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNINLV56_0)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_24)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_29)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_27)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_23)) + (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_19)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_17 "cmp_tdc.tdc_core.acam_config_6_m(17)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17)) + (net (rename cmp_tdc_tdc_core_N_2564 "cmp_tdc.tdc_core.N_2564") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_10)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_18 "cmp_tdc.tdc_core.acam_config_6_m(18)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18)) + (net (rename cmp_tdc_tdc_core_N_3609 "cmp_tdc.tdc_core.N_3609") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) )) - (net (rename cmp_tdc_tdc_core_N_1511 "cmp_tdc.tdc_core.N_1511") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_18)) + (net (rename cmp_tdc_tdc_core_N_3612 "cmp_tdc.tdc_core.N_3612") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_3)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_20 "cmp_tdc.tdc_core.acam_config_6_m(20)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) + (net (rename cmp_tdc_tdc_core_N_129 "cmp_tdc.tdc_core.N_129") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_10)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_10)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_21 "cmp_tdc.tdc_core.acam_config_5_m(21)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (net (rename cmp_tdc_tdc_core_N_2668 "cmp_tdc.tdc_core.N_2668") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_3_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_10)) )) - (net (rename cmp_tdc_tdc_core_N_1515 "cmp_tdc.tdc_core.N_1515") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) + (net (rename cmp_tdc_tdc_core_N_2690 "cmp_tdc.tdc_core.N_2690") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30_i_m4_0_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_10)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_4 "cmp_tdc.tdc_core.acam_config_5_m(4)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) + (net (rename cmp_tdc_tdc_core_N_2833 "cmp_tdc.tdc_core.N_2833") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_7)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_12 "cmp_tdc.tdc_core.acam_config_5_m(12)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12)) + (net (rename cmp_tdc_tdc_core_N_2836 "cmp_tdc.tdc_core.N_2836") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_7)) )) - (net (rename cmp_tdc_tdc_core_N_1505 "cmp_tdc.tdc_core.N_1505") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_12)) + (net (rename cmp_tdc_tdc_core_N_2828 "cmp_tdc.tdc_core.N_2828") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_lut6_2_o6_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) )) - (net (rename cmp_tdc_tdc_core_N_1493 "cmp_tdc.tdc_core.N_1493") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_0)) + (net (rename cmp_tdc_tdc_core_N_2832 "cmp_tdc.tdc_core.N_2832") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_2_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_1 "cmp_tdc.tdc_core.acam_config_5_m(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1)) + (net (rename cmp_tdc_tdc_core_N_2831 "cmp_tdc.tdc_core.N_2831") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_1_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_24 "cmp_tdc.tdc_core.acam_config_5_m(24)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24)) + (net (rename cmp_tdc_tdc_core_N_3799 "cmp_tdc.tdc_core.N_3799") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_a2_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_26 "cmp_tdc.tdc_core.acam_config_6_m(26)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) + (net (rename cmp_tdc_tdc_core_N_3803 "cmp_tdc.tdc_core.N_3803") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) )) - (net (rename cmp_tdc_tdc_core_N_2403 "cmp_tdc.tdc_core.N_2403") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2)) + (net (rename cmp_tdc_tdc_core_N_574 "cmp_tdc.tdc_core.N_574") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_10)) )) - (net (rename cmp_tdc_tdc_core_N_2402 "cmp_tdc.tdc_core.N_2402") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_o5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2)) + (net (rename cmp_tdc_tdc_core_N_623 "cmp_tdc.tdc_core.N_623") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) )) - (net (rename cmp_tdc_tdc_core_N_1065 "cmp_tdc.tdc_core.N_1065") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_5)) + (net (rename cmp_tdc_tdc_core_N_4391 "cmp_tdc.tdc_core.N_4391") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_12)) )) - (net (rename cmp_tdc_tdc_core_N_937 "cmp_tdc.tdc_core.N_937") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_5)) + (net (rename cmp_tdc_tdc_core_N_2414 "cmp_tdc.tdc_core.N_2414") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22)) )) - (net (rename cmp_tdc_tdc_core_N_1624 "cmp_tdc.tdc_core.N_1624") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_6)) + (net (rename cmp_tdc_tdc_core_N_4936 "cmp_tdc.tdc_core.N_4936") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNICCUU4_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIV91TC_22)) )) - (net (rename cmp_tdc_tdc_core_N_1066 "cmp_tdc.tdc_core.N_1066") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_6)) + (net (rename cmp_tdc_tdc_core_N_499 "cmp_tdc.tdc_core.N_499") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_lut6_2_o6_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3)) )) - (net (rename cmp_tdc_tdc_core_N_938 "cmp_tdc.tdc_core.N_938") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_6)) + (net (rename cmp_tdc_tdc_core_N_505 "cmp_tdc.tdc_core.N_505") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_9 "cmp_tdc.tdc_core.acam_config_5_m(9)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9)) + (net (rename cmp_tdc_tdc_core_N_518 "cmp_tdc.tdc_core.N_518") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19)) )) - (net (rename cmp_tdc_tdc_core_N_1502 "cmp_tdc.tdc_core.N_1502") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) + (net (rename cmp_tdc_tdc_core_N_524 "cmp_tdc.tdc_core.N_524") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17)) )) - (net (rename cmp_tdc_tdc_core_N_950 "cmp_tdc.tdc_core.N_950") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_18)) + (net (rename cmp_tdc_tdc_core_N_533 "cmp_tdc.tdc_core.N_533") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o6_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) )) - (net (rename cmp_tdc_tdc_core_N_1628 "cmp_tdc.tdc_core.N_1628") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_10)) + (net (rename cmp_tdc_tdc_core_N_534 "cmp_tdc.tdc_core.N_534") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o5_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) )) - (net (rename cmp_tdc_tdc_core_N_1627 "cmp_tdc.tdc_core.N_1627") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_9)) + (net (rename cmp_tdc_tdc_core_N_529 "cmp_tdc.tdc_core.N_529") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o5_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_15)) )) - (net (rename cmp_tdc_tdc_core_N_1623 "cmp_tdc.tdc_core.N_1623") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_5)) + (net (rename cmp_tdc_tdc_core_N_538 "cmp_tdc.tdc_core.N_538") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15)) )) - (net (rename cmp_tdc_tdc_core_N_1070 "cmp_tdc.tdc_core.N_1070") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_10)) + (net (rename cmp_tdc_tdc_core_N_544 "cmp_tdc.tdc_core.N_544") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14)) )) - (net (rename cmp_tdc_tdc_core_N_942 "cmp_tdc.tdc_core.N_942") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_10)) + (net (rename cmp_tdc_tdc_core_N_550 "cmp_tdc.tdc_core.N_550") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13)) )) - (net (rename cmp_tdc_tdc_core_N_1069 "cmp_tdc.tdc_core.N_1069") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_9)) + (net (rename cmp_tdc_tdc_core_N_560 "cmp_tdc.tdc_core.N_560") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9)) )) - (net (rename cmp_tdc_tdc_core_N_941 "cmp_tdc.tdc_core.N_941") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_9)) + (net (rename cmp_tdc_tdc_core_N_571 "cmp_tdc.tdc_core.N_571") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIVBHL6_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2)) )) - (net (rename cmp_tdc_tdc_core_N_1077 "cmp_tdc.tdc_core.N_1077") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_17)) + (net (rename cmp_tdc_tdc_core_N_4356 "cmp_tdc.tdc_core.N_4356") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o6_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_10)) )) - (net (rename cmp_tdc_tdc_core_N_949 "cmp_tdc.tdc_core.N_949") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_17)) + (net (rename cmp_tdc_tdc_core_N_4357 "cmp_tdc.tdc_core.N_4357") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_10)) )) - (net (rename cmp_tdc_tdc_core_N_1061 "cmp_tdc.tdc_core.N_1061") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) + (net (rename cmp_tdc_tdc_core_N_575 "cmp_tdc.tdc_core.N_575") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIB9R11_o5_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_10)) )) - (net (rename cmp_tdc_tdc_core_N_933 "cmp_tdc.tdc_core.N_933") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) + (net (rename cmp_tdc_tdc_core_N_4359 "cmp_tdc.tdc_core.N_4359") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_RNITMP33_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_16)) )) - (net (rename cmp_tdc_tdc_core_N_1073 "cmp_tdc.tdc_core.N_1073") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_13)) + (net (rename cmp_tdc_tdc_core_N_612 "cmp_tdc.tdc_core.N_612") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_a2_5_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) )) - (net (rename cmp_tdc_tdc_core_N_945 "cmp_tdc.tdc_core.N_945") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_13)) + (net (rename cmp_tdc_tdc_core_N_4379 "cmp_tdc.tdc_core.N_4379") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_5_lut6_2_o5_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) )) - (net (rename cmp_tdc_tdc_core_N_1108 "cmp_tdc.tdc_core.N_1108") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) + (net (rename cmp_tdc_tdc_core_N_608 "cmp_tdc.tdc_core.N_608") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o5_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_1_1)) )) - (net (rename cmp_tdc_tdc_core_N_1074 "cmp_tdc.tdc_core.N_1074") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_14)) + (net (rename cmp_tdc_tdc_core_N_618 "cmp_tdc.tdc_core.N_618") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_3_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10)) )) - (net (rename cmp_tdc_tdc_core_N_946 "cmp_tdc.tdc_core.N_946") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_14)) + (net (rename cmp_tdc_tdc_core_N_632 "cmp_tdc.tdc_core.N_632") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_11)) )) - (net (rename cmp_tdc_tdc_core_N_1081 "cmp_tdc.tdc_core.N_1081") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_21)) + (net (rename cmp_tdc_tdc_core_N_644 "cmp_tdc.tdc_core.N_644") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_4_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11)) )) - (net (rename cmp_tdc_tdc_core_N_953 "cmp_tdc.tdc_core.N_953") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_21)) + (net (rename cmp_tdc_tdc_core_N_657 "cmp_tdc.tdc_core.N_657") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8)) )) - (net (rename cmp_tdc_tdc_core_N_1076 "cmp_tdc.tdc_core.N_1076") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_16)) + (net (rename cmp_tdc_tdc_core_N_663 "cmp_tdc.tdc_core.N_663") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_2_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27)) )) - (net (rename cmp_tdc_tdc_core_N_948 "cmp_tdc.tdc_core.N_948") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_16)) + (net (rename cmp_tdc_tdc_core_N_4341 "cmp_tdc.tdc_core.N_4341") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) )) - (net (rename cmp_tdc_tdc_core_N_1067 "cmp_tdc.tdc_core.N_1067") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_7)) + (net (rename cmp_tdc_tdc_core_N_696 "cmp_tdc.tdc_core.N_696") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_lut6_2_o6_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_1_8)) )) - (net (rename cmp_tdc_tdc_core_N_939 "cmp_tdc.tdc_core.N_939") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_7)) + (net (rename cmp_tdc_tdc_core_N_718 "cmp_tdc.tdc_core.N_718") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_a2_2_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7)) )) - (net (rename cmp_tdc_tdc_core_N_1555 "cmp_tdc.tdc_core.N_1555") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) + (net (rename cmp_tdc_tdc_core_N_724 "cmp_tdc.tdc_core.N_724") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o23_0_a2_i_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1)) )) - (net (rename cmp_tdc_tdc_core_N_1082 "cmp_tdc.tdc_core.N_1082") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_22)) + (net (rename cmp_tdc_tdc_core_N_770 "cmp_tdc.tdc_core.N_770") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_14_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24)) )) - (net (rename cmp_tdc_tdc_core_N_1083 "cmp_tdc.tdc_core.N_1083") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_23)) + (net (rename cmp_tdc_tdc_core_N_800 "cmp_tdc.tdc_core.N_800") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_a2_8_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5)) )) - (net (rename cmp_tdc_tdc_core_N_955 "cmp_tdc.tdc_core.N_955") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_23)) + (net (rename cmp_tdc_tdc_core_N_420 "cmp_tdc.tdc_core.N_420") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o6_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_13)) )) - (net (rename cmp_tdc_tdc_core_N_1068 "cmp_tdc.tdc_core.N_1068") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_8)) + (net (rename cmp_tdc_tdc_core_N_419 "cmp_tdc.tdc_core.N_419") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o6_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_13)) )) - (net (rename cmp_tdc_tdc_core_N_940 "cmp_tdc.tdc_core.N_940") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_8)) + (net (rename cmp_tdc_tdc_core_N_467 "cmp_tdc.tdc_core.N_467") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_20)) )) - (net (rename cmp_tdc_tdc_core_N_1072 "cmp_tdc.tdc_core.N_1072") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) + (net (rename cmp_tdc_tdc_core_N_466 "cmp_tdc.tdc_core.N_466") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_20)) )) - (net (rename cmp_tdc_tdc_core_N_944 "cmp_tdc.tdc_core.N_944") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) + (net (rename cmp_tdc_tdc_core_N_465 "cmp_tdc.tdc_core.N_465") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_21)) )) - (net (rename cmp_tdc_tdc_core_N_1060 "cmp_tdc.tdc_core.N_1060") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_0)) + (net (rename cmp_tdc_tdc_core_N_464 "cmp_tdc.tdc_core.N_464") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_31)) )) - (net (rename cmp_tdc_tdc_core_N_932 "cmp_tdc.tdc_core.N_932") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_0)) + (net (rename cmp_tdc_tdc_core_N_463 "cmp_tdc.tdc_core.N_463") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_31)) )) - (net (rename cmp_tdc_tdc_core_N_1062 "cmp_tdc.tdc_core.N_1062") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_2)) + (net (rename cmp_tdc_tdc_core_N_462 "cmp_tdc.tdc_core.N_462") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_30)) )) - (net (rename cmp_tdc_tdc_core_N_934 "cmp_tdc.tdc_core.N_934") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_2)) + (net (rename cmp_tdc_tdc_core_N_458 "cmp_tdc.tdc_core.N_458") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_25)) )) - (net (rename cmp_tdc_tdc_core_N_1063 "cmp_tdc.tdc_core.N_1063") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_3)) + (net (rename cmp_tdc_tdc_core_N_457 "cmp_tdc.tdc_core.N_457") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_25)) )) - (net (rename cmp_tdc_tdc_core_N_935 "cmp_tdc.tdc_core.N_935") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_3)) + (net (rename cmp_tdc_tdc_core_N_454 "cmp_tdc.tdc_core.N_454") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_30)) )) - (net (rename cmp_tdc_tdc_core_N_1084 "cmp_tdc.tdc_core.N_1084") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_24)) + (net (rename cmp_tdc_tdc_core_N_451 "cmp_tdc.tdc_core.N_451") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) )) - (net (rename cmp_tdc_tdc_core_N_956 "cmp_tdc.tdc_core.N_956") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_24)) + (net (rename cmp_tdc_tdc_core_N_450 "cmp_tdc.tdc_core.N_450") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o6_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_31)) )) - (net (rename cmp_tdc_tdc_core_N_1086 "cmp_tdc.tdc_core.N_1086") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_26)) + (net (rename cmp_tdc_tdc_core_N_442 "cmp_tdc.tdc_core.N_442") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_0)) )) - (net (rename cmp_tdc_tdc_core_N_1507 "cmp_tdc.tdc_core.N_1507") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) + (net (rename cmp_tdc_tdc_core_N_441 "cmp_tdc.tdc_core.N_441") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m2_0)) )) - (net (rename cmp_tdc_tdc_core_N_1475 "cmp_tdc.tdc_core.N_1475") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) + (net (rename cmp_tdc_tdc_core_N_430 "cmp_tdc.tdc_core.N_430") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_9)) )) - (net (rename cmp_tdc_tdc_core_N_3733 "cmp_tdc.tdc_core.N_3733") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28)) + (net (rename cmp_tdc_tdc_core_N_429 "cmp_tdc.tdc_core.N_429") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_9)) )) - (net (rename cmp_tdc_tdc_core_N_3753 "cmp_tdc.tdc_core.N_3753") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_31)) + (net (rename cmp_tdc_tdc_core_N_428 "cmp_tdc.tdc_core.N_428") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_1_7)) )) - (net (rename cmp_tdc_tdc_core_N_3775 "cmp_tdc.tdc_core.N_3775") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_16)) + (net (rename cmp_tdc_tdc_core_N_828 "cmp_tdc.tdc_core.N_828") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_a2_4_lut6_2_o6_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_8)) )) - (net (rename cmp_tdc_tdc_core_N_67_0 "cmp_tdc.tdc_core.N_67_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_2)) + (net (rename cmp_tdc_tdc_core_N_832 "cmp_tdc.tdc_core.N_832") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_a2_8_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_0_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_10)) )) - (net (rename cmp_tdc_tdc_core_N_64_0 "cmp_tdc.tdc_core.N_64_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_1)) + (net (rename cmp_tdc_tdc_core_N_3791 "cmp_tdc.tdc_core.N_3791") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIT3VU6_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16)) )) - (net (rename cmp_tdc_tdc_core_N_3927 "cmp_tdc.tdc_core.N_3927") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_0)) + (net (rename cmp_tdc_tdc_core_N_1490 "cmp_tdc.tdc_core.N_1490") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_27)) )) - (net (rename cmp_tdc_tdc_core_N_61_0 "cmp_tdc.tdc_core.N_61_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) + (net (rename cmp_tdc_tdc_core_N_1123 "cmp_tdc.tdc_core.N_1123") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) )) - (net (rename cmp_tdc_tdc_core_N_1495 "cmp_tdc.tdc_core.N_1495") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) + (net (rename cmp_tdc_tdc_core_N_1089 "cmp_tdc.tdc_core.N_1089") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_27)) )) - (net (rename cmp_tdc_tdc_core_N_1557 "cmp_tdc.tdc_core.N_1557") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) + (net (rename cmp_tdc_tdc_core_N_961 "cmp_tdc.tdc_core.N_961") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_27)) )) - (net (rename cmp_tdc_tdc_core_N_82_0 "cmp_tdc.tdc_core.N_82_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o6_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_23)) + (net (rename cmp_tdc_tdc_core_N_1125 "cmp_tdc.tdc_core.N_1125") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_29)) )) - (net (rename cmp_tdc_tdc_core_N_79_0 "cmp_tdc.tdc_core.N_79_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIKMFP_o5_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_22)) + (net (rename cmp_tdc_tdc_core_N_1066 "cmp_tdc.tdc_core.N_1066") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_4)) )) - (net (rename cmp_tdc_tdc_core_N_1713 "cmp_tdc.tdc_core.N_1713") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28)) + (net (rename cmp_tdc_tdc_core_N_938 "cmp_tdc.tdc_core.N_938") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_4)) )) - (net (rename cmp_tdc_tdc_core_N_1467 "cmp_tdc.tdc_core.N_1467") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) + (net (rename cmp_tdc_tdc_core_N_1556 "cmp_tdc.tdc_core.N_1556") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) )) - (net (rename cmp_tdc_tdc_core_N_1499 "cmp_tdc.tdc_core.N_1499") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) + (net (rename cmp_tdc_tdc_core_N_1492 "cmp_tdc.tdc_core.N_1492") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_29)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) )) - (net (rename cmp_tdc_tdc_core_N_1466 "cmp_tdc.tdc_core.N_1466") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) + (net (rename cmp_tdc_tdc_core_N_2226 "cmp_tdc.tdc_core.N_2226") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) )) - (net (rename cmp_tdc_tdc_core_N_1498 "cmp_tdc.tdc_core.N_1498") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) + (net (rename cmp_tdc_tdc_core_N_2527 "cmp_tdc.tdc_core.N_2527") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_27)) )) - (net (rename cmp_tdc_tdc_core_N_1100 "cmp_tdc.tdc_core.N_1100") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_6)) + (net (rename cmp_tdc_tdc_core_N_62_0 "cmp_tdc.tdc_core.N_62_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_27)) )) - (net (rename cmp_tdc_tdc_core_N_1099 "cmp_tdc.tdc_core.N_1099") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) + (net (rename cmp_tdc_tdc_core_N_2529 "cmp_tdc.tdc_core.N_2529") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27)) )) - (net (rename cmp_tdc_tdc_core_N_1739 "cmp_tdc.tdc_core.N_1739") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) + (net (rename cmp_tdc_tdc_core_N_60_0 "cmp_tdc.tdc_core.N_60_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_27)) )) - (net (rename cmp_tdc_tdc_core_N_1773 "cmp_tdc.tdc_core.N_1773") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) + (net (rename cmp_tdc_tdc_core_N_983 "cmp_tdc.tdc_core.N_983") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o6_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_17)) )) - (net (rename cmp_tdc_tdc_core_N_1780 "cmp_tdc.tdc_core.N_1780") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIN0L12_4)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_29)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_20)) + (net (rename cmp_tdc_tdc_core_N_1145 "cmp_tdc.tdc_core.N_1145") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_17)) )) - (net (rename cmp_tdc_tdc_core_N_1737 "cmp_tdc.tdc_core.N_1737") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23)) + (net (rename cmp_tdc_tdc_core_N_184 "cmp_tdc.tdc_core.N_184") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0)) )) - (net (rename cmp_tdc_tdc_core_N_1771 "cmp_tdc.tdc_core.N_1771") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23)) + (net (rename cmp_tdc_tdc_core_N_1088 "cmp_tdc.tdc_core.N_1088") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_26)) )) - (net (rename cmp_tdc_tdc_core_N_1720 "cmp_tdc.tdc_core.N_1720") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) + (net (rename cmp_tdc_tdc_core_N_960 "cmp_tdc.tdc_core.N_960") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o6_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_26)) )) - (net (rename cmp_tdc_tdc_core_N_1754 "cmp_tdc.tdc_core.N_1754") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) + (net (rename cmp_tdc_tdc_core_N_1083 "cmp_tdc.tdc_core.N_1083") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o6_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_21)) )) - (net (rename cmp_tdc_tdc_core_N_1550 "cmp_tdc.tdc_core.N_1550") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) + (net (rename cmp_tdc_tdc_core_N_169 "cmp_tdc.tdc_core.N_169") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIONDU4_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22)) )) - (net (rename cmp_tdc_tdc_core_N_1548 "cmp_tdc.tdc_core.N_1548") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_23)) + (net (rename cmp_tdc_tdc_core_N_2410 "cmp_tdc.tdc_core.N_2410") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_RNO_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_22)) )) - (net (rename cmp_tdc_tdc_core_N_1531 "cmp_tdc.tdc_core.N_1531") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_6)) + (net (rename cmp_tdc_tdc_core_N_2408 "cmp_tdc.tdc_core.N_2408") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o6_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_RNO_22)) )) - (net (rename cmp_tdc_tdc_core_N_1643 "cmp_tdc.tdc_core.N_1643") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_25)) + (net (rename cmp_tdc_tdc_core_N_1085 "cmp_tdc.tdc_core.N_1085") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_23)) )) - (net (rename cmp_tdc_tdc_core_N_1641 "cmp_tdc.tdc_core.N_1641") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_23)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_23)) + (net (rename cmp_tdc_tdc_core_N_957 "cmp_tdc.tdc_core.N_957") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_23)) )) - (net (rename cmp_tdc_tdc_core_N_1226 "cmp_tdc.tdc_core.N_1226") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_6)) + (net (rename cmp_tdc_tdc_core_N_1664 "cmp_tdc.tdc_core.N_1664") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_12)) )) - (net (rename cmp_tdc_tdc_core_N_1732 "cmp_tdc.tdc_core.N_1732") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_18)) + (net (rename cmp_tdc_tdc_core_N_1108 "cmp_tdc.tdc_core.N_1108") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_12)) )) - (net (rename cmp_tdc_tdc_core_N_1766 "cmp_tdc.tdc_core.N_1766") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_18)) + (net (rename cmp_tdc_tdc_core_N_1062 "cmp_tdc.tdc_core.N_1062") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_0)) )) - (net (rename cmp_tdc_tdc_core_N_1724 "cmp_tdc.tdc_core.N_1724") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_10)) + (net (rename cmp_tdc_tdc_core_N_934 "cmp_tdc.tdc_core.N_934") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_i_m2_lut6_2_o5_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_0)) )) - (net (rename cmp_tdc_tdc_core_N_1758 "cmp_tdc.tdc_core.N_1758") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_10)) + (net (rename cmp_tdc_tdc_core_N_1815 "cmp_tdc.tdc_core.N_1815") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) )) - (net (rename cmp_tdc_tdc_core_N_1774 "cmp_tdc.tdc_core.N_1774") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) + (net (rename cmp_tdc_tdc_core_N_1781 "cmp_tdc.tdc_core.N_1781") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_31)) )) - (net (rename cmp_tdc_tdc_core_N_1551 "cmp_tdc.tdc_core.N_1551") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) + (net (rename cmp_tdc_tdc_core_N_1558 "cmp_tdc.tdc_core.N_1558") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) )) - (net (rename cmp_tdc_tdc_core_N_1543 "cmp_tdc.tdc_core.N_1543") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_18)) + (net (rename cmp_tdc_tdc_core_N_4285 "cmp_tdc.tdc_core.N_4285") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_31)) )) - (net (rename cmp_tdc_tdc_core_N_1535 "cmp_tdc.tdc_core.N_1535") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_10)) + (net (rename cmp_tdc_tdc_core_N_3977 "cmp_tdc.tdc_core.N_3977") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_31)) )) - (net (rename cmp_tdc_tdc_core_N_1636 "cmp_tdc.tdc_core.N_1636") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_18)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_18)) + (net (rename cmp_tdc_tdc_core_N_4119 "cmp_tdc.tdc_core.N_4119") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) )) - (net (rename cmp_tdc_tdc_core_N_1487 "cmp_tdc.tdc_core.N_1487") (joined + (net (rename cmp_tdc_tdc_core_N_1489 "cmp_tdc.tdc_core.N_1489") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_26)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) )) - (net (rename cmp_tdc_tdc_core_N_1519 "cmp_tdc.tdc_core.N_1519") (joined + (net (rename cmp_tdc_tdc_core_N_1521 "cmp_tdc.tdc_core.N_1521") (joined (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_26)) (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) )) - (net (rename cmp_tdc_tdc_core_N_1479 "cmp_tdc.tdc_core.N_1479") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_18)) - )) - (net (rename cmp_tdc_tdc_core_N_1471 "cmp_tdc.tdc_core.N_1471") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_10)) + (net (rename cmp_tdc_tdc_core_N_1553 "cmp_tdc.tdc_core.N_1553") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) )) - (net (rename cmp_tdc_tdc_core_N_1470 "cmp_tdc.tdc_core.N_1470") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) + (net (rename cmp_tdc_tdc_core_N_1122 "cmp_tdc.tdc_core.N_1122") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_26)) )) - (net (rename cmp_tdc_tdc_core_N_1238 "cmp_tdc.tdc_core.N_1238") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_18)) + (net (rename cmp_tdc_tdc_core_N_1647 "cmp_tdc.tdc_core.N_1647") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27)) )) - (net (rename cmp_tdc_tdc_core_N_1230 "cmp_tdc.tdc_core.N_1230") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_10)) + (net (rename cmp_tdc_tdc_core_N_3975 "cmp_tdc.tdc_core.N_3975") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_27)) )) - (net (rename cmp_tdc_tdc_core_N_1104 "cmp_tdc.tdc_core.N_1104") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_10)) + (net (rename cmp_tdc_tdc_core_N_1522 "cmp_tdc.tdc_core.N_1522") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_27)) )) - (net (rename cmp_tdc_tdc_core_N_1554 "cmp_tdc.tdc_core.N_1554") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) + (net (rename cmp_tdc_tdc_core_N_1500 "cmp_tdc.tdc_core.N_1500") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) )) - (net (rename cmp_tdc_tdc_core_N_1757 "cmp_tdc.tdc_core.N_1757") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) + (net (rename cmp_tdc_tdc_core_N_1467 "cmp_tdc.tdc_core.N_1467") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) )) - (net (rename cmp_tdc_tdc_core_N_1534 "cmp_tdc.tdc_core.N_1534") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) + (net (rename cmp_tdc_tdc_core_N_1100 "cmp_tdc.tdc_core.N_1100") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) )) - (net (rename cmp_tdc_tdc_core_N_1753 "cmp_tdc.tdc_core.N_1753") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) + (net (rename cmp_tdc_tdc_core_N_1101 "cmp_tdc.tdc_core.N_1101") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) )) - (net (rename cmp_tdc_tdc_core_N_1530 "cmp_tdc.tdc_core.N_1530") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) + (net (rename cmp_tdc_tdc_core_N_1105 "cmp_tdc.tdc_core.N_1105") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) )) - (net (rename cmp_tdc_tdc_core_N_1750 "cmp_tdc.tdc_core.N_1750") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) + (net (rename cmp_tdc_tdc_core_N_1534 "cmp_tdc.tdc_core.N_1534") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) )) - (net (rename cmp_tdc_tdc_core_N_1527 "cmp_tdc.tdc_core.N_1527") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) + (net (rename cmp_tdc_tdc_core_N_1229 "cmp_tdc.tdc_core.N_1229") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_7)) )) - (net (rename cmp_tdc_tdc_core_N_1528 "cmp_tdc.tdc_core.N_1528") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) + (net (rename cmp_tdc_tdc_core_N_1103 "cmp_tdc.tdc_core.N_1103") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) )) - (net (rename cmp_tdc_tdc_core_N_2432 "cmp_tdc.tdc_core.N_2432") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_11)) + (net (rename cmp_tdc_tdc_core_N_1767 "cmp_tdc.tdc_core.N_1767") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) )) - (net (rename cmp_tdc_tdc_core_N_196 "cmp_tdc.tdc_core.N_196") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_11)) + (net (rename cmp_tdc_tdc_core_N_1544 "cmp_tdc.tdc_core.N_1544") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) )) - (net (rename cmp_tdc_tdc_core_N_184 "cmp_tdc.tdc_core.N_184") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_20)) + (net (rename cmp_tdc_tdc_core_N_1763 "cmp_tdc.tdc_core.N_1763") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) )) - (net (rename cmp_tdc_tdc_core_N_187 "cmp_tdc.tdc_core.N_187") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_20)) + (net (rename cmp_tdc_tdc_core_N_1540 "cmp_tdc.tdc_core.N_1540") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) )) - (net (rename cmp_tdc_tdc_core_N_172 "cmp_tdc.tdc_core.N_172") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_20)) + (net (rename cmp_tdc_tdc_core_N_1754 "cmp_tdc.tdc_core.N_1754") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_4)) )) - (net (rename cmp_tdc_tdc_core_N_3646 "cmp_tdc.tdc_core.N_3646") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) + (net (rename cmp_tdc_tdc_core_N_1531 "cmp_tdc.tdc_core.N_1531") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) )) - (net (rename cmp_tdc_tdc_core_N_3707 "cmp_tdc.tdc_core.N_3707") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) + (net (rename cmp_tdc_tdc_core_N_1476 "cmp_tdc.tdc_core.N_1476") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) )) - (net (rename cmp_tdc_tdc_core_N_142 "cmp_tdc.tdc_core.N_142") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o6_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_20)) + (net (rename cmp_tdc_tdc_core_N_1508 "cmp_tdc.tdc_core.N_1508") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) )) - (net (rename cmp_tdc_tdc_core_N_1105 "cmp_tdc.tdc_core.N_1105") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_11)) + (net (rename cmp_tdc_tdc_core_N_1499 "cmp_tdc.tdc_core.N_1499") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) )) - (net (rename cmp_tdc_tdc_core_N_94_0 "cmp_tdc.tdc_core.N_94_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) + (net (rename cmp_tdc_tdc_core_N_1239 "cmp_tdc.tdc_core.N_1239") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) )) - (net (rename cmp_tdc_tdc_core_N_91_0 "cmp_tdc.tdc_core.N_91_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_26)) + (net (rename cmp_tdc_tdc_core_N_1235 "cmp_tdc.tdc_core.N_1235") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) )) - (net (rename cmp_tdc_tdc_core_N_88_0 "cmp_tdc.tdc_core.N_88_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_25)) + (net (rename cmp_tdc_tdc_core_N_1226 "cmp_tdc.tdc_core.N_1226") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) )) - (net (rename cmp_tdc_tdc_core_N_85_0 "cmp_tdc.tdc_core.N_85_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o6_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_24)) + (net (rename cmp_tdc_tdc_core_N_1109 "cmp_tdc.tdc_core.N_1109") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_13)) )) - (net (rename cmp_tdc_tdc_core_N_76_0 "cmp_tdc.tdc_core.N_76_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI25T51_o5_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_21)) + (net (rename cmp_tdc_tdc_core_N_2683 "cmp_tdc.tdc_core.N_2683") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_m3_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) )) - (net (rename cmp_tdc_tdc_core_N_73_0 "cmp_tdc.tdc_core.N_73_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o6_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_4)) + (net (rename cmp_tdc_tdc_core_N_119 "cmp_tdc.tdc_core.N_119") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m3_28)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) )) - (net (rename cmp_tdc_tdc_core_N_70_0 "cmp_tdc.tdc_core.N_70_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNI6KIT_o5_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) + (net (rename cmp_tdc_tdc_core_N_4117 "cmp_tdc.tdc_core.N_4117") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_28)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) )) - (net (rename cmp_tdc_tdc_core_N_2416 "cmp_tdc.tdc_core.N_2416") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11)) + (net (rename cmp_tdc_tdc_core_N_2675 "cmp_tdc.tdc_core.N_2675") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m3_28)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_i_m3_28)) )) - (net (rename cmp_tdc_tdc_core_N_2415 "cmp_tdc.tdc_core.N_2415") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_11)) + (net (rename cmp_tdc_tdc_core_N_1761 "cmp_tdc.tdc_core.N_1761") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11)) )) - (net (rename cmp_tdc_tdc_core_N_2414 "cmp_tdc.tdc_core.N_2414") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIIJ4G_o5_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_11)) + (net (rename cmp_tdc_tdc_core_N_1538 "cmp_tdc.tdc_core.N_1538") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_11)) )) - (net (rename cmp_tdc_tdc_core_N_17_0 "cmp_tdc.tdc_core.N_17_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11)) + (net (rename cmp_tdc_tdc_core_N_1474 "cmp_tdc.tdc_core.N_1474") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_11)) )) - (net (rename cmp_tdc_tdc_core_N_8_0 "cmp_tdc.tdc_core.N_8_0") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNIO1TB1_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_11)) + (net (rename cmp_tdc_tdc_core_N_1233 "cmp_tdc.tdc_core.N_1233") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_11)) )) - (net (rename cmp_tdc_tdc_core_N_1749 "cmp_tdc.tdc_core.N_1749") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) + (net (rename cmp_tdc_tdc_core_N_1107 "cmp_tdc.tdc_core.N_1107") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_11)) )) - (net (rename cmp_tdc_tdc_core_N_1526 "cmp_tdc.tdc_core.N_1526") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) + (net (rename cmp_tdc_tdc_core_N_1477 "cmp_tdc.tdc_core.N_1477") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) )) - (net (rename cmp_tdc_tdc_core_N_1763 "cmp_tdc.tdc_core.N_1763") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) + (net (rename cmp_tdc_tdc_core_N_1110 "cmp_tdc.tdc_core.N_1110") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_14)) )) - (net (rename cmp_tdc_tdc_core_N_1540 "cmp_tdc.tdc_core.N_1540") (joined + (net (rename cmp_tdc_tdc_core_N_1542 "cmp_tdc.tdc_core.N_1542") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_15)) (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) )) - (net (rename cmp_tdc_tdc_core_N_1476 "cmp_tdc.tdc_core.N_1476") (joined + (net (rename cmp_tdc_tdc_core_N_1237 "cmp_tdc.tdc_core.N_1237") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_15)) + )) + (net (rename cmp_tdc_tdc_core_N_1478 "cmp_tdc.tdc_core.N_1478") (joined (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_15)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) )) - (net (rename cmp_tdc_tdc_core_N_1508 "cmp_tdc.tdc_core.N_1508") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) + (net (rename cmp_tdc_tdc_core_N_1111 "cmp_tdc.tdc_core.N_1111") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_15)) )) - (net (rename cmp_tdc_tdc_core_N_1633 "cmp_tdc.tdc_core.N_1633") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_15)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) + (net (rename cmp_tdc_tdc_core_N_1471 "cmp_tdc.tdc_core.N_1471") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) )) - (net (rename cmp_tdc_tdc_core_N_1235 "cmp_tdc.tdc_core.N_1235") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_15)) + (net (rename cmp_tdc_tdc_core_N_1104 "cmp_tdc.tdc_core.N_1104") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_8)) )) - (net (rename cmp_tdc_tdc_core_N_1109 "cmp_tdc.tdc_core.N_1109") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_15)) + (net (rename cmp_tdc_tdc_core_N_2566 "cmp_tdc.tdc_core.N_2566") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_RNIE7RQ3_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_19)) )) - (net (rename cmp_tdc_tdc_core_N_1639 "cmp_tdc.tdc_core.N_1639") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_21)) + (net (rename cmp_tdc_tdc_core_N_2562 "cmp_tdc.tdc_core.N_2562") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_RNIK1QL1_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_RNIE7RQ3_19)) )) - (net (rename cmp_tdc_tdc_core_N_1482 "cmp_tdc.tdc_core.N_1482") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) + (net (rename cmp_tdc_tdc_core_N_1480 "cmp_tdc.tdc_core.N_1480") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) )) - (net (rename cmp_tdc_tdc_core_N_1115 "cmp_tdc.tdc_core.N_1115") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_21)) + (net (rename cmp_tdc_tdc_core_N_1512 "cmp_tdc.tdc_core.N_1512") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) )) - (net (rename cmp_tdc_tdc_core_N_1755 "cmp_tdc.tdc_core.N_1755") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) + (net (rename cmp_tdc_tdc_core_N_1113 "cmp_tdc.tdc_core.N_1113") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) )) - (net (rename cmp_tdc_tdc_core_N_1468 "cmp_tdc.tdc_core.N_1468") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) + (net (rename cmp_tdc_tdc_core_N_2432 "cmp_tdc.tdc_core.N_2432") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_0)) )) - (net (rename cmp_tdc_tdc_core_N_1770 "cmp_tdc.tdc_core.N_1770") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) + (net (rename cmp_tdc_tdc_core_N_1482 "cmp_tdc.tdc_core.N_1482") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_RNIE7RQ3_19)) )) - (net (rename cmp_tdc_tdc_core_N_1547 "cmp_tdc.tdc_core.N_1547") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) + (net (rename cmp_tdc_tdc_core_N_1514 "cmp_tdc.tdc_core.N_1514") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_RNIE7RQ3_19)) )) - (net (rename cmp_tdc_tdc_core_N_1483 "cmp_tdc.tdc_core.N_1483") (joined + (net (rename cmp_tdc_tdc_core_N_1115 "cmp_tdc.tdc_core.N_1115") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_19)) + )) + (net (rename cmp_tdc_tdc_core_N_1116 "cmp_tdc.tdc_core.N_1116") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) + )) + (net (rename cmp_tdc_tdc_core_N_1485 "cmp_tdc.tdc_core.N_1485") (joined (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_22)) (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) )) - (net (rename cmp_tdc_tdc_core_N_1242 "cmp_tdc.tdc_core.N_1242") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_22)) - )) - (net (rename cmp_tdc_tdc_core_N_954 "cmp_tdc.tdc_core.N_954") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_lut6_2_o5_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2_22)) + (net (rename cmp_tdc_tdc_core_N_1517 "cmp_tdc.tdc_core.N_1517") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) )) - (net (rename cmp_tdc_tdc_core_N_3779 "cmp_tdc.tdc_core.N_3779") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_20)) + (net (rename cmp_tdc_tdc_core_N_1118 "cmp_tdc.tdc_core.N_1118") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_22)) )) - (net (rename cmp_tdc_tdc_core_N_3778 "cmp_tdc.tdc_core.N_3778") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_20)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_19)) + (net (rename cmp_tdc_tdc_core_N_1495 "cmp_tdc.tdc_core.N_1495") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_0)) )) - (net (rename cmp_tdc_tdc_core_N_3777 "cmp_tdc.tdc_core.N_3777") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_18)) + (net (rename cmp_tdc_tdc_core_N_2363 "cmp_tdc.tdc_core.N_2363") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_0_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) )) - (net (rename cmp_tdc_tdc_core_N_3776 "cmp_tdc.tdc_core.N_3776") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_17)) + (net (rename cmp_tdc_tdc_core_N_2364 "cmp_tdc.tdc_core.N_2364") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) )) - (net (rename cmp_tdc_tdc_core_N_3774 "cmp_tdc.tdc_core.N_3774") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15)) + (net (rename cmp_tdc_tdc_core_N_2362 "cmp_tdc.tdc_core.N_2362") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_i_m2_lut6_2_o5_31)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_RNO_0_2)) )) - (net (rename cmp_tdc_tdc_core_N_3773 "cmp_tdc.tdc_core.N_3773") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (net (rename cmp_tdc_tdc_core_N_1126 "cmp_tdc.tdc_core.N_1126") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_29)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) )) - (net (rename cmp_tdc_tdc_core_N_1484 "cmp_tdc.tdc_core.N_1484") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_23)) + (net (rename cmp_tdc_tdc_core_N_1691 "cmp_tdc.tdc_core.N_1691") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) )) - (net (rename cmp_tdc_tdc_core_N_1516 "cmp_tdc.tdc_core.N_1516") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_23)) + (net (rename cmp_tdc_tdc_core_N_1790 "cmp_tdc.tdc_core.N_1790") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) )) - (net (rename cmp_tdc_tdc_core_N_3772 "cmp_tdc.tdc_core.N_3772") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_13)) + (net (rename cmp_tdc_tdc_core_N_3667 "cmp_tdc.tdc_core.N_3667") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_20)) )) - (net (rename cmp_tdc_tdc_core_N_3771 "cmp_tdc.tdc_core.N_3771") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) + (net (rename cmp_tdc_tdc_core_N_114 "cmp_tdc.tdc_core.N_114") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_20)) )) - (net (rename cmp_tdc_tdc_core_N_1064 "cmp_tdc.tdc_core.N_1064") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_lut6_2_o5_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_4)) + (net (rename cmp_tdc_tdc_core_N_4299 "cmp_tdc.tdc_core.N_4299") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_19)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_19)) )) - (net (rename cmp_tdc_tdc_core_N_936 "cmp_tdc.tdc_core.N_936") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_4)) + (net (rename cmp_tdc_tdc_core_N_111 "cmp_tdc.tdc_core.N_111") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_19)) )) - (net (rename cmp_tdc_tdc_core_N_3769 "cmp_tdc.tdc_core.N_3769") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_10)) + (net (rename cmp_tdc_tdc_core_N_4298 "cmp_tdc.tdc_core.N_4298") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_18)) )) - (net (rename cmp_tdc_tdc_core_N_3768 "cmp_tdc.tdc_core.N_3768") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_9)) + (net (rename cmp_tdc_tdc_core_N_108 "cmp_tdc.tdc_core.N_108") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_18)) )) - (net (rename cmp_tdc_tdc_core_N_3767 "cmp_tdc.tdc_core.N_3767") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) + (net (rename cmp_tdc_tdc_core_N_4297 "cmp_tdc.tdc_core.N_4297") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) )) - (net (rename cmp_tdc_tdc_core_N_3766 "cmp_tdc.tdc_core.N_3766") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_7)) + (net (rename cmp_tdc_tdc_core_N_105 "cmp_tdc.tdc_core.N_105") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_17)) )) - (net (rename cmp_tdc_tdc_core_N_3765 "cmp_tdc.tdc_core.N_3765") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_6)) + (net (rename cmp_tdc_tdc_core_N_102 "cmp_tdc.tdc_core.N_102") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIIAFP_o5_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_16)) )) - (net (rename cmp_tdc_tdc_core_N_3764 "cmp_tdc.tdc_core.N_3764") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) + (net (rename cmp_tdc_tdc_core_N_4284 "cmp_tdc.tdc_core.N_4284") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_30)) )) - (net (rename cmp_tdc_tdc_core_N_1103 "cmp_tdc.tdc_core.N_1103") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) + (net (rename cmp_tdc_tdc_core_N_4102 "cmp_tdc.tdc_core.N_4102") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) )) - (net (rename cmp_tdc_tdc_core_N_1232 "cmp_tdc.tdc_core.N_1232") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_12)) + (net (rename cmp_tdc_tdc_core_N_4101 "cmp_tdc.tdc_core.N_4101") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_28)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_28)) )) - (net (rename cmp_tdc_tdc_core_N_1098 "cmp_tdc.tdc_core.N_1098") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) + (net (rename cmp_tdc_tdc_core_N_4281 "cmp_tdc.tdc_core.N_4281") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_30)) )) - (net (rename cmp_tdc_tdc_core_N_1772 "cmp_tdc.tdc_core.N_1772") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24)) + (net (rename cmp_tdc_tdc_core_N_116 "cmp_tdc.tdc_core.N_116") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o6_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_21)) )) - (net (rename cmp_tdc_tdc_core_N_1549 "cmp_tdc.tdc_core.N_1549") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) + (net (rename cmp_tdc_tdc_core_N_3646 "cmp_tdc.tdc_core.N_3646") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_20)) )) - (net (rename cmp_tdc_tdc_core_N_1517 "cmp_tdc.tdc_core.N_1517") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) + (net (rename cmp_tdc_tdc_core_N_4278 "cmp_tdc.tdc_core.N_4278") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) )) - (net (rename cmp_tdc_tdc_core_N_3701 "cmp_tdc.tdc_core.N_3701") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_25)) + (net (rename cmp_tdc_tdc_core_N_4247 "cmp_tdc.tdc_core.N_4247") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_23)) )) - (net (rename cmp_tdc_tdc_core_N_3671 "cmp_tdc.tdc_core.N_3671") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_25)) + (net (rename cmp_tdc_tdc_core_N_4236 "cmp_tdc.tdc_core.N_4236") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_31)) )) - (net (rename cmp_tdc_tdc_core_N_3699 "cmp_tdc.tdc_core.N_3699") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_23)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_23)) + (net (rename cmp_tdc_tdc_core_N_4235 "cmp_tdc.tdc_core.N_4235") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_2)) )) - (net (rename cmp_tdc_tdc_core_N_2178 "cmp_tdc.tdc_core.N_2178") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_23)) + (net (rename cmp_tdc_tdc_core_N_4231 "cmp_tdc.tdc_core.N_4231") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_30)) )) - (net (rename cmp_tdc_tdc_core_N_3696 "cmp_tdc.tdc_core.N_3696") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20)) + (net (rename cmp_tdc_tdc_core_N_4230 "cmp_tdc.tdc_core.N_4230") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_27)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_27)) )) - (net (rename cmp_tdc_tdc_core_N_3668 "cmp_tdc.tdc_core.N_3668") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_20)) + (net (rename cmp_tdc_tdc_core_N_4004 "cmp_tdc.tdc_core.N_4004") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_7)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_7)) )) - (net (rename cmp_tdc_tdc_core_N_3666 "cmp_tdc.tdc_core.N_3666") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_18)) + (net (rename cmp_tdc_tdc_core_N_3561 "cmp_tdc.tdc_core.N_3561") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_6)) )) - (net (rename cmp_tdc_tdc_core_N_3667 "cmp_tdc.tdc_core.N_3667") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_18)) + (net (rename cmp_tdc_tdc_core_N_4003 "cmp_tdc.tdc_core.N_4003") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_6)) )) - (net (rename cmp_tdc_tdc_core_N_3655 "cmp_tdc.tdc_core.N_3655") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_10)) + (net (rename cmp_tdc_tdc_core_N_4224 "cmp_tdc.tdc_core.N_4224") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) )) - (net (rename cmp_tdc_tdc_core_N_3715 "cmp_tdc.tdc_core.N_3715") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_10)) + (net (rename cmp_tdc_tdc_core_N_4002 "cmp_tdc.tdc_core.N_4002") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) )) - (net (rename cmp_tdc_tdc_core_N_3651 "cmp_tdc.tdc_core.N_3651") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_6)) + (net (rename cmp_tdc_tdc_core_N_4223 "cmp_tdc.tdc_core.N_4223") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) )) - (net (rename cmp_tdc_tdc_core_N_3712 "cmp_tdc.tdc_core.N_3712") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_6)) + (net (rename cmp_tdc_tdc_core_N_4001 "cmp_tdc.tdc_core.N_4001") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_4)) + )) + (net (rename cmp_tdc_tdc_core_N_4221 "cmp_tdc.tdc_core.N_4221") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o6_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_21)) + )) + (net (rename cmp_tdc_tdc_core_N_4220 "cmp_tdc.tdc_core.N_4220") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_RNIB3OM1_o5_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_20)) + )) + (net (rename cmp_tdc_tdc_core_N_4218 "cmp_tdc.tdc_core.N_4218") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o6_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_4)) + )) + (net (rename cmp_tdc_tdc_core_N_4217 "cmp_tdc.tdc_core.N_4217") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o6_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_3)) + )) + (net (rename cmp_tdc_tdc_core_N_4216 "cmp_tdc.tdc_core.N_4216") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o6_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_2)) + )) + (net (rename cmp_tdc_tdc_core_N_4215 "cmp_tdc.tdc_core.N_4215") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIBERK_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) )) - (net (rename cmp_tdc_tdc_core_N_1752 "cmp_tdc.tdc_core.N_1752") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) + (net (rename cmp_tdc_tdc_core_N_172 "cmp_tdc.tdc_core.N_172") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) )) - (net (rename cmp_tdc_tdc_core_N_1529 "cmp_tdc.tdc_core.N_1529") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) + (net (rename cmp_tdc_tdc_core_N_4012 "cmp_tdc.tdc_core.N_4012") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_RNO_25)) )) - (net (rename cmp_tdc_tdc_core_N_1486 "cmp_tdc.tdc_core.N_1486") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) + (net (rename cmp_tdc_tdc_core_N_127 "cmp_tdc.tdc_core.N_127") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_RNI6L001_o5_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_6)) )) - (net (rename cmp_tdc_tdc_core_N_1518 "cmp_tdc.tdc_core.N_1518") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_25)) + (net (rename cmp_tdc_tdc_core_N_112 "cmp_tdc.tdc_core.N_112") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNINQJA1_o5_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_22)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_22)) )) - (net (rename cmp_tdc_tdc_core_N_1465 "cmp_tdc.tdc_core.N_1465") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) + (net (rename cmp_tdc_tdc_core_N_109 "cmp_tdc.tdc_core.N_109") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_RNIN2KA1_o5_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_21)) )) - (net (rename cmp_tdc_tdc_core_N_1497 "cmp_tdc.tdc_core.N_1497") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_4)) + (net (rename cmp_tdc_tdc_core_N_106 "cmp_tdc.tdc_core.N_106") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNIJPJM1_o5_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_23)) )) - (net (rename cmp_tdc_tdc_core_N_1224 "cmp_tdc.tdc_core.N_1224") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_4)) + (net (rename cmp_tdc_tdc_core_N_103 "cmp_tdc.tdc_core.N_103") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_RNI72N01_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_22)) )) - (net (rename cmp_tdc_tdc_core_N_3752 "cmp_tdc.tdc_core.N_3752") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_30)) + (net (rename cmp_tdc_tdc_core_N_4206 "cmp_tdc.tdc_core.N_4206") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_i_m3_lut6_2_o5_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) )) - (net (rename cmp_tdc_tdc_core_N_1553 "cmp_tdc.tdc_core.N_1553") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) + (net (rename cmp_tdc_tdc_core_N_97 "cmp_tdc.tdc_core.N_97") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_14)) )) - (net (rename cmp_tdc_tdc_core_N_1644 "cmp_tdc.tdc_core.N_1644") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_26)) + (net (rename cmp_tdc_tdc_core_N_4204 "cmp_tdc.tdc_core.N_4204") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_26)) )) - (net (rename cmp_tdc_tdc_core_N_1120 "cmp_tdc.tdc_core.N_1120") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_26)) + (net (rename cmp_tdc_tdc_core_N_4202 "cmp_tdc.tdc_core.N_4202") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_15)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_15)) )) - (net (rename cmp_tdc_tdc_core_N_958 "cmp_tdc.tdc_core.N_958") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7_26)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_26)) + (net (rename cmp_tdc_tdc_core_N_1705 "cmp_tdc.tdc_core.N_1705") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20)) )) - (net (rename cmp_tdc_tdc_core_N_1252 "cmp_tdc.tdc_core.N_1252") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_21)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_7)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_13)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_15)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_16)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_10)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_31)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_19)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_30)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_17)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_11)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_9)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_18)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_20)) + (net (rename cmp_tdc_tdc_core_N_1804 "cmp_tdc.tdc_core.N_1804") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20)) )) - (net (rename cmp_tdc_tdc_core_N_1814 "cmp_tdc.tdc_core.N_1814") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI0ERQ6_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_29)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_28)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_28)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_20)) + (net (rename cmp_tdc_tdc_core_N_1770 "cmp_tdc.tdc_core.N_1770") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_20)) )) - (net (rename cmp_tdc_tdc_core_N_1708 "cmp_tdc.tdc_core.N_1708") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) + (net (rename cmp_tdc_tdc_core_N_1547 "cmp_tdc.tdc_core.N_1547") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_20)) )) - (net (rename cmp_tdc_tdc_core_N_1706 "cmp_tdc.tdc_core.N_1706") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23)) + (net (rename cmp_tdc_tdc_core_N_1640 "cmp_tdc.tdc_core.N_1640") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_20)) )) - (net (rename cmp_tdc_tdc_core_N_1689 "cmp_tdc.tdc_core.N_1689") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6)) + (net (rename cmp_tdc_tdc_core_N_3968 "cmp_tdc.tdc_core.N_3968") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_20)) )) - (net (rename cmp_tdc_tdc_core_N_1686 "cmp_tdc.tdc_core.N_1686") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) + (net (rename cmp_tdc_tdc_core_N_1483 "cmp_tdc.tdc_core.N_1483") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_20)) )) - (net (rename cmp_tdc_tdc_core_N_1685 "cmp_tdc.tdc_core.N_1685") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) + (net (rename cmp_tdc_tdc_core_N_1515 "cmp_tdc.tdc_core.N_1515") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_20)) )) - (net (rename cmp_tdc_tdc_core_N_1684 "cmp_tdc.tdc_core.N_1684") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) + (net (rename cmp_tdc_tdc_core_N_1242 "cmp_tdc.tdc_core.N_1242") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_20)) )) - (net (rename cmp_tdc_tdc_core_N_1683 "cmp_tdc.tdc_core.N_1683") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_0)) + (net (rename cmp_tdc_tdc_core_N_4198 "cmp_tdc.tdc_core.N_4198") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_9)) )) - (net (rename cmp_tdc_tdc_core_N_1717 "cmp_tdc.tdc_core.N_1717") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) + (net (rename cmp_tdc_tdc_core_N_4192 "cmp_tdc.tdc_core.N_4192") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_27)) )) - (net (rename cmp_tdc_tdc_core_N_1751 "cmp_tdc.tdc_core.N_1751") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) + (net (rename cmp_tdc_tdc_core_N_4014 "cmp_tdc.tdc_core.N_4014") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) )) - (net (rename cmp_tdc_tdc_core_N_1716 "cmp_tdc.tdc_core.N_1716") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) + (net (rename cmp_tdc_tdc_core_N_2208 "cmp_tdc.tdc_core.N_2208") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_21)) )) - (net (rename cmp_tdc_tdc_core_N_1715 "cmp_tdc.tdc_core.N_1715") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) + (net (rename cmp_tdc_tdc_core_N_117 "cmp_tdc.tdc_core.N_117") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_RNO_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_21)) )) - (net (rename cmp_tdc_tdc_core_N_1714 "cmp_tdc.tdc_core.N_1714") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_0)) + (net (rename cmp_tdc_tdc_core_N_4190 "cmp_tdc.tdc_core.N_4190") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_18)) )) - (net (rename cmp_tdc_tdc_core_N_1748 "cmp_tdc.tdc_core.N_1748") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_0)) + (net (rename cmp_tdc_tdc_core_N_4189 "cmp_tdc.tdc_core.N_4189") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_17)) )) - (net (rename cmp_tdc_tdc_core_N_1525 "cmp_tdc.tdc_core.N_1525") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_0)) + (net (rename cmp_tdc_tdc_core_N_4188 "cmp_tdc.tdc_core.N_4188") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_18)) )) - (net (rename cmp_tdc_tdc_core_N_1621 "cmp_tdc.tdc_core.N_1621") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_3)) + (net (rename cmp_tdc_tdc_core_N_1710 "cmp_tdc.tdc_core.N_1710") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) )) - (net (rename cmp_tdc_tdc_core_N_1620 "cmp_tdc.tdc_core.N_1620") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_2)) + (net (rename cmp_tdc_tdc_core_N_4180 "cmp_tdc.tdc_core.N_4180") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_25)) )) - (net (rename cmp_tdc_tdc_core_N_1619 "cmp_tdc.tdc_core.N_1619") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) + (net (rename cmp_tdc_tdc_core_N_4179 "cmp_tdc.tdc_core.N_4179") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_24)) )) - (net (rename cmp_tdc_tdc_core_N_1618 "cmp_tdc.tdc_core.N_1618") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_0)) + (net (rename cmp_tdc_tdc_core_N_4175 "cmp_tdc.tdc_core.N_4175") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_20)) )) - (net (rename cmp_tdc_tdc_core_N_3077 "cmp_tdc.tdc_core.N_3077") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) + (net (rename cmp_tdc_tdc_core_N_99 "cmp_tdc.tdc_core.N_99") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_15)) )) - (net (rename cmp_tdc_tdc_core_N_1682 "cmp_tdc.tdc_core.N_1682") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_21)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_7)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_23)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_13)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_15)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_16)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_6)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_10)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_31)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_14)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_19)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_30)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_22)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_17)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_11)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_9)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_18)) - (portRef S (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_27)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_25)) + (net (rename cmp_tdc_tdc_core_N_4167 "cmp_tdc.tdc_core.N_4167") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) )) - (net (rename cmp_tdc_tdc_core_N_1709 "cmp_tdc.tdc_core.N_1709") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) + (net (rename cmp_tdc_tdc_core_N_3986 "cmp_tdc.tdc_core.N_3986") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_14)) )) - (net (rename cmp_tdc_tdc_core_N_1701 "cmp_tdc.tdc_core.N_1701") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_18)) + (net (rename cmp_tdc_tdc_core_N_4165 "cmp_tdc.tdc_core.N_4165") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o6_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_13)) )) - (net (rename cmp_tdc_tdc_core_N_1693 "cmp_tdc.tdc_core.N_1693") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_10)) + (net (rename cmp_tdc_tdc_core_N_4164 "cmp_tdc.tdc_core.N_4164") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_1)) )) - (net (rename cmp_tdc_tdc_core_N_1740 "cmp_tdc.tdc_core.N_1740") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) + (net (rename cmp_tdc_tdc_core_N_4162 "cmp_tdc.tdc_core.N_4162") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_31)) + )) + (net (rename cmp_tdc_tdc_core_N_4161 "cmp_tdc.tdc_core.N_4161") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_30)) + )) + (net (rename cmp_tdc_tdc_core_N_4160 "cmp_tdc.tdc_core.N_4160") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o6_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_27)) + )) + (net (rename cmp_tdc_tdc_core_N_4159 "cmp_tdc.tdc_core.N_4159") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_lut6_2_o5_27)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_26)) + )) + (net (rename cmp_tdc_tdc_core_N_4158 "cmp_tdc.tdc_core.N_4158") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_31)) + )) + (net (rename cmp_tdc_tdc_core_N_4157 "cmp_tdc.tdc_core.N_4157") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_14)) + )) + (net (rename cmp_tdc_tdc_core_N_4156 "cmp_tdc.tdc_core.N_4156") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) )) - (net (rename cmp_tdc_tdc_core_N_3078 "cmp_tdc.tdc_core.N_3078") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) + (net (rename cmp_tdc_tdc_core_N_4155 "cmp_tdc.tdc_core.N_4155") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_25)) + )) + (net (rename cmp_tdc_tdc_core_N_4154 "cmp_tdc.tdc_core.N_4154") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_24)) + )) + (net (rename cmp_tdc_tdc_core_N_2024 "cmp_tdc.tdc_core.N_2024") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_11)) + )) + (net (rename cmp_tdc_tdc_core_N_2022 "cmp_tdc.tdc_core.N_2022") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_9)) + )) + (net (rename cmp_tdc_tdc_core_N_2021 "cmp_tdc.tdc_core.N_2021") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_8)) + )) + (net (rename cmp_tdc_tdc_core_N_4145 "cmp_tdc.tdc_core.N_4145") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_2)) + )) + (net (rename cmp_tdc_tdc_core_N_4143 "cmp_tdc.tdc_core.N_4143") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_18)) + )) + (net (rename cmp_tdc_tdc_core_N_4142 "cmp_tdc.tdc_core.N_4142") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_17)) + )) + (net (rename cmp_tdc_tdc_core_N_4141 "cmp_tdc.tdc_core.N_4141") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_6_lut6_2_o5_25)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_13)) + )) + (net (rename cmp_tdc_tdc_core_N_4140 "cmp_tdc.tdc_core.N_4140") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_12)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_12)) )) - (net (rename cmp_tdc_tdc_core_N_1703 "cmp_tdc.tdc_core.N_1703") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_20)) + (net (rename cmp_tdc_tdc_core_N_4139 "cmp_tdc.tdc_core.N_4139") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_11)) + )) + (net (rename cmp_tdc_tdc_core_N_4137 "cmp_tdc.tdc_core.N_4137") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_9)) + )) + (net (rename cmp_tdc_tdc_core_N_4136 "cmp_tdc.tdc_core.N_4136") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_8)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_N_15 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_N_15") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNI69AD1_o6_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNIF8PC1)) + )) + (net (rename cmp_tdc_tdc_core_N_4133 "cmp_tdc.tdc_core.N_4133") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_6)) + )) + (net (rename cmp_tdc_tdc_core_N_4132 "cmp_tdc.tdc_core.N_4132") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_5)) + )) + (net (rename cmp_tdc_tdc_core_N_4130 "cmp_tdc.tdc_core.N_4130") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_6)) + )) + (net (rename cmp_tdc_tdc_core_N_4129 "cmp_tdc.tdc_core.N_4129") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3_5)) + )) + (net (rename cmp_tdc_tdc_core_N_4128 "cmp_tdc.tdc_core.N_4128") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2_4)) + )) + (net (rename cmp_tdc_tdc_core_N_4127 "cmp_tdc.tdc_core.N_4127") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_4_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_3)) + )) + (net (rename cmp_tdc_tdc_core_N_3954 "cmp_tdc.tdc_core.N_3954") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_6)) + )) + (net (rename cmp_tdc_tdc_core_N_4125 "cmp_tdc.tdc_core.N_4125") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_23)) )) - (net (rename cmp_tdc_tdc_core_N_1700 "cmp_tdc.tdc_core.N_1700") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) + (net (rename cmp_tdc_tdc_core_N_3766 "cmp_tdc.tdc_core.N_3766") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_RNICAUB1_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_16)) )) - (net (rename cmp_tdc_tdc_core_N_3399 "cmp_tdc.tdc_core.N_3399") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_31)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_31)) + (net (rename cmp_tdc_tdc_core_N_3754 "cmp_tdc.tdc_core.N_3754") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o6_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7)) )) - (net (rename cmp_tdc_tdc_core_N_1692 "cmp_tdc.tdc_core.N_1692") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) + (net (rename cmp_tdc_tdc_core_N_4118 "cmp_tdc.tdc_core.N_4118") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) )) - (net (rename cmp_tdc_tdc_core_N_1688 "cmp_tdc.tdc_core.N_1688") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) + (net (rename cmp_tdc_tdc_core_N_198 "cmp_tdc.tdc_core.N_198") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_23)) )) - (net (rename cmp_tdc_tdc_core_N_1723 "cmp_tdc.tdc_core.N_1723") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) + (net (rename cmp_tdc_tdc_core_N_64_0 "cmp_tdc.tdc_core.N_64_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_9_23)) )) - (net (rename cmp_tdc_tdc_core_N_1719 "cmp_tdc.tdc_core.N_1719") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) + (net (rename cmp_tdc_tdc_core_N_160 "cmp_tdc.tdc_core.N_160") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_10)) )) - (net (rename cmp_tdc_tdc_core_N_3749 "cmp_tdc.tdc_core.N_3749") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_19)) + (net (rename cmp_tdc_tdc_core_N_151 "cmp_tdc.tdc_core.N_151") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) )) - (net (rename cmp_tdc_tdc_core_N_1694 "cmp_tdc.tdc_core.N_1694") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11)) + (net (rename cmp_tdc_tdc_core_N_4072 "cmp_tdc.tdc_core.N_4072") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16)) )) - (net (rename cmp_tdc_tdc_core_N_2410 "cmp_tdc.tdc_core.N_2410") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11)) + (net (rename cmp_tdc_tdc_core_N_1753 "cmp_tdc.tdc_core.N_1753") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_3)) )) - (net (rename cmp_tdc_tdc_core_N_1696 "cmp_tdc.tdc_core.N_1696") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) + (net (rename cmp_tdc_tdc_core_N_1530 "cmp_tdc.tdc_core.N_1530") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) )) - (net (rename cmp_tdc_tdc_core_N_1691 "cmp_tdc.tdc_core.N_1691") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8)) + (net (rename cmp_tdc_tdc_core_N_1466 "cmp_tdc.tdc_core.N_1466") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) )) - (net (rename cmp_tdc_tdc_core_N_1727 "cmp_tdc.tdc_core.N_1727") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) + (net (rename cmp_tdc_tdc_core_N_1498 "cmp_tdc.tdc_core.N_1498") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) )) - (net (rename cmp_tdc_tdc_core_N_1761 "cmp_tdc.tdc_core.N_1761") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) + (net (rename cmp_tdc_tdc_core_N_1099 "cmp_tdc.tdc_core.N_1099") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) )) - (net (rename cmp_tdc_tdc_core_N_1722 "cmp_tdc.tdc_core.N_1722") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8)) + (net (rename cmp_tdc_tdc_core_N_4049 "cmp_tdc.tdc_core.N_4049") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16)) )) - (net (rename cmp_tdc_tdc_core_N_1756 "cmp_tdc.tdc_core.N_1756") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8)) + (net (rename cmp_tdc_tdc_core_N_1487 "cmp_tdc.tdc_core.N_1487") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) )) - (net (rename cmp_tdc_tdc_core_N_1538 "cmp_tdc.tdc_core.N_1538") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) + (net (rename cmp_tdc_tdc_core_N_1519 "cmp_tdc.tdc_core.N_1519") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) )) - (net (rename cmp_tdc_tdc_core_N_1631 "cmp_tdc.tdc_core.N_1631") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_13)) + (net (rename cmp_tdc_tdc_core_N_1120 "cmp_tdc.tdc_core.N_1120") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_24)) )) - (net (rename cmp_tdc_tdc_core_N_1626 "cmp_tdc.tdc_core.N_1626") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_8)) + (net (rename cmp_tdc_tdc_core_N_2137 "cmp_tdc.tdc_core.N_2137") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_10)) )) - (net (rename cmp_tdc_tdc_core_N_3355 "cmp_tdc.tdc_core.N_3355") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_8)) + (net (rename cmp_tdc_tdc_core_N_2134 "cmp_tdc.tdc_core.N_2134") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) )) - (net (rename cmp_tdc_tdc_core_N_1698 "cmp_tdc.tdc_core.N_1698") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) + (net (rename cmp_tdc_tdc_core_N_1493 "cmp_tdc.tdc_core.N_1493") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) )) - (net (rename cmp_tdc_tdc_core_N_1729 "cmp_tdc.tdc_core.N_1729") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) + (net (rename cmp_tdc_tdc_core_N_1227 "cmp_tdc.tdc_core.N_1227") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) )) - (net (rename cmp_tdc_tdc_core_N_3346 "cmp_tdc.tdc_core.N_3346") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_30)) + (net (rename cmp_tdc_tdc_core_N_1789 "cmp_tdc.tdc_core.N_1789") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) )) - (net (rename cmp_tdc_tdc_core_N_1704 "cmp_tdc.tdc_core.N_1704") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) + (net (rename cmp_tdc_tdc_core_N_1755 "cmp_tdc.tdc_core.N_1755") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_2_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_5)) )) - (net (rename cmp_tdc_tdc_core_N_1699 "cmp_tdc.tdc_core.N_1699") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16)) + (net (rename cmp_tdc_tdc_core_N_1532 "cmp_tdc.tdc_core.N_1532") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) )) - (net (rename cmp_tdc_tdc_core_N_1735 "cmp_tdc.tdc_core.N_1735") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) + (net (rename cmp_tdc_tdc_core_N_1468 "cmp_tdc.tdc_core.N_1468") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_5)) )) - (net (rename cmp_tdc_tdc_core_N_1769 "cmp_tdc.tdc_core.N_1769") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) + (net (rename cmp_tdc_tdc_core_N_3669 "cmp_tdc.tdc_core.N_3669") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_23)) )) - (net (rename cmp_tdc_tdc_core_N_1730 "cmp_tdc.tdc_core.N_1730") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16)) + (net (rename cmp_tdc_tdc_core_N_3622 "cmp_tdc.tdc_core.N_3622") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_23)) )) - (net (rename cmp_tdc_tdc_core_N_1764 "cmp_tdc.tdc_core.N_1764") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16)) + (net (rename cmp_tdc_tdc_core_N_3668 "cmp_tdc.tdc_core.N_3668") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_22)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) )) - (net (rename cmp_tdc_tdc_core_N_1546 "cmp_tdc.tdc_core.N_1546") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) + (net (rename cmp_tdc_tdc_core_N_3621 "cmp_tdc.tdc_core.N_3621") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_22)) )) - (net (rename cmp_tdc_tdc_core_N_1541 "cmp_tdc.tdc_core.N_1541") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_16)) + (net (rename cmp_tdc_tdc_core_N_1133 "cmp_tdc.tdc_core.N_1133") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_6_5)) )) - (net (rename cmp_tdc_tdc_core_N_1634 "cmp_tdc.tdc_core.N_1634") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_16)) + (net (rename cmp_tdc_tdc_core_N_1629 "cmp_tdc.tdc_core.N_1629") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_9)) )) - (net (rename cmp_tdc_tdc_core_N_1514 "cmp_tdc.tdc_core.N_1514") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_21)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_21)) + (net (rename cmp_tdc_tdc_core_N_971 "cmp_tdc.tdc_core.N_971") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_6_5)) )) - (net (rename cmp_tdc_tdc_core_N_1241 "cmp_tdc.tdc_core.N_1241") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_21)) + (net (rename cmp_tdc_tdc_core_N_1692 "cmp_tdc.tdc_core.N_1692") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) )) - (net (rename cmp_tdc_tdc_core_N_1236 "cmp_tdc.tdc_core.N_1236") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_16)) + (net (rename cmp_tdc_tdc_core_N_1723 "cmp_tdc.tdc_core.N_1723") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) )) - (net (rename cmp_tdc_tdc_core_N_3332 "cmp_tdc.tdc_core.N_3332") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) + (net (rename cmp_tdc_tdc_core_N_1757 "cmp_tdc.tdc_core.N_1757") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) )) - (net (rename cmp_tdc_tdc_core_N_1731 "cmp_tdc.tdc_core.N_1731") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) + (net (rename cmp_tdc_tdc_core_N_1470 "cmp_tdc.tdc_core.N_1470") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) )) - (net (rename cmp_tdc_tdc_core_N_1765 "cmp_tdc.tdc_core.N_1765") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) + (net (rename cmp_tdc_tdc_core_N_1573 "cmp_tdc.tdc_core.N_1573") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) )) - (net (rename cmp_tdc_tdc_core_N_1635 "cmp_tdc.tdc_core.N_1635") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_17)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_17)) + (net (rename cmp_tdc_tdc_core_N_1801 "cmp_tdc.tdc_core.N_1801") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) )) - (net (rename cmp_tdc_tdc_core_N_1690 "cmp_tdc.tdc_core.N_1690") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) + (net (rename cmp_tdc_tdc_core_N_1797 "cmp_tdc.tdc_core.N_1797") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) )) - (net (rename cmp_tdc_tdc_core_N_1721 "cmp_tdc.tdc_core.N_1721") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_7)) + (net (rename cmp_tdc_tdc_core_N_1694 "cmp_tdc.tdc_core.N_1694") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) )) - (net (rename cmp_tdc_tdc_core_N_1625 "cmp_tdc.tdc_core.N_1625") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) + (net (rename cmp_tdc_tdc_core_N_1793 "cmp_tdc.tdc_core.N_1793") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_9)) )) - (net (rename cmp_tdc_tdc_core_N_3303 "cmp_tdc.tdc_core.N_3303") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_29)) + (net (rename cmp_tdc_tdc_core_N_1689 "cmp_tdc.tdc_core.N_1689") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) )) - (net (rename cmp_tdc_tdc_core_N_3317 "cmp_tdc.tdc_core.N_3317") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_30)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) + (net (rename cmp_tdc_tdc_core_N_1788 "cmp_tdc.tdc_core.N_1788") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_4)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) )) - (net (rename cmp_tdc_tdc_core_N_2212 "cmp_tdc.tdc_core.N_2212") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_27)) + (net (rename cmp_tdc_tdc_core_N_1759 "cmp_tdc.tdc_core.N_1759") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_9)) )) - (net (rename cmp_tdc_tdc_core_N_1710 "cmp_tdc.tdc_core.N_1710") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27)) + (net (rename cmp_tdc_tdc_core_N_1536 "cmp_tdc.tdc_core.N_1536") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) )) - (net (rename cmp_tdc_tdc_core_N_1741 "cmp_tdc.tdc_core.N_1741") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27)) + (net (rename cmp_tdc_tdc_core_N_3366 "cmp_tdc.tdc_core.N_3366") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_9)) )) - (net (rename cmp_tdc_tdc_core_N_1775 "cmp_tdc.tdc_core.N_1775") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27)) + (net (rename cmp_tdc_tdc_core_N_1624 "cmp_tdc.tdc_core.N_1624") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_4)) )) - (net (rename cmp_tdc_tdc_core_N_1552 "cmp_tdc.tdc_core.N_1552") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_27)) + (net (rename cmp_tdc_tdc_core_N_3361 "cmp_tdc.tdc_core.N_3361") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_4)) )) - (net (rename cmp_tdc_tdc_core_N_1645 "cmp_tdc.tdc_core.N_1645") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_27)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_27)) + (net (rename cmp_tdc_tdc_core_N_1577 "cmp_tdc.tdc_core.N_1577") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_17)) )) - (net (rename cmp_tdc_tdc_core_N_3_0 "cmp_tdc.tdc_core.N_3_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_RNIQH5H_o5_4)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_27)) + (net (rename cmp_tdc_tdc_core_N_3429 "cmp_tdc.tdc_core.N_3429") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13)) )) - (net (rename cmp_tdc_tdc_core_N_12_0 "cmp_tdc.tdc_core.N_12_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1_27)) + (net (rename cmp_tdc_tdc_core_N_1904 "cmp_tdc.tdc_core.N_1904") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_11)) )) - (net (rename cmp_tdc_tdc_core_N_3283 "cmp_tdc.tdc_core.N_3283") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_31)) + (net (rename cmp_tdc_tdc_core_N_1696 "cmp_tdc.tdc_core.N_1696") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11)) )) - (net (rename cmp_tdc_tdc_core_N_3282 "cmp_tdc.tdc_core.N_3282") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_30)) + (net (rename cmp_tdc_tdc_core_N_1727 "cmp_tdc.tdc_core.N_1727") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11)) )) - (net (rename cmp_tdc_tdc_core_N_3281 "cmp_tdc.tdc_core.N_3281") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11)) + (net (rename cmp_tdc_tdc_core_N_3368 "cmp_tdc.tdc_core.N_3368") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_11)) )) - (net (rename cmp_tdc_tdc_core_N_3279 "cmp_tdc.tdc_core.N_3279") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_9)) + (net (rename cmp_tdc_tdc_core_N_3627 "cmp_tdc.tdc_core.N_3627") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_30)) )) - (net (rename cmp_tdc_tdc_core_N_3278 "cmp_tdc.tdc_core.N_3278") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_8)) + (net (rename cmp_tdc_tdc_core_N_3625 "cmp_tdc.tdc_core.N_3625") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_26)) )) - (net (rename cmp_tdc_tdc_core_N_3276 "cmp_tdc.tdc_core.N_3276") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_5)) + (net (rename cmp_tdc_tdc_core_N_3623 "cmp_tdc.tdc_core.N_3623") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_24)) )) - (net (rename cmp_tdc_tdc_core_N_3275 "cmp_tdc.tdc_core.N_3275") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_4)) + (net (rename cmp_tdc_tdc_core_N_3618 "cmp_tdc.tdc_core.N_3618") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_9)) )) - (net (rename cmp_tdc_tdc_core_N_3274 "cmp_tdc.tdc_core.N_3274") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_3)) + (net (rename cmp_tdc_tdc_core_N_3617 "cmp_tdc.tdc_core.N_3617") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) )) - (net (rename cmp_tdc_tdc_core_N_3273 "cmp_tdc.tdc_core.N_3273") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_2)) + (net (rename cmp_tdc_tdc_core_N_3611 "cmp_tdc.tdc_core.N_3611") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o6_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_2)) )) - (net (rename cmp_tdc_tdc_core_N_3271 "cmp_tdc.tdc_core.N_3271") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_0)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_N_42 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_N_42") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_0_6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNINLV56_0)) )) - (net (rename cmp_tdc_tdc_core_N_3270 "cmp_tdc.tdc_core.N_3270") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_31)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_N_52 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_N_52") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNINLV56_0)) )) - (net (rename cmp_tdc_tdc_core_N_3269 "cmp_tdc.tdc_core.N_3269") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_30)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_sn_N_46 "cmp_tdc.tdc_core.reg_control_block.dat_out_sn_N_46") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI31L12_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_sn_m6_lut6_2_RNI8FCP3)) )) - (net (rename cmp_tdc_tdc_core_N_3268 "cmp_tdc.tdc_core.N_3268") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_27)) + (net (rename cmp_tdc_tdc_core_N_1798 "cmp_tdc.tdc_core.N_1798") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) )) - (net (rename cmp_tdc_tdc_core_N_3267 "cmp_tdc.tdc_core.N_3267") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_26)) + (net (rename cmp_tdc_tdc_core_N_1687 "cmp_tdc.tdc_core.N_1687") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) )) - (net (rename cmp_tdc_tdc_core_N_3265 "cmp_tdc.tdc_core.N_3265") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_24)) + (net (rename cmp_tdc_tdc_core_N_1786 "cmp_tdc.tdc_core.N_1786") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_2)) )) - (net (rename cmp_tdc_tdc_core_N_3263 "cmp_tdc.tdc_core.N_3263") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_22)) + (net (rename cmp_tdc_tdc_core_N_1686 "cmp_tdc.tdc_core.N_1686") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) )) - (net (rename cmp_tdc_tdc_core_N_3262 "cmp_tdc.tdc_core.N_3262") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_21)) + (net (rename cmp_tdc_tdc_core_N_1764 "cmp_tdc.tdc_core.N_1764") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_14)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_0_14)) )) - (net (rename cmp_tdc_tdc_core_N_1711 "cmp_tdc.tdc_core.N_1711") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) + (net (rename cmp_tdc_tdc_core_N_1752 "cmp_tdc.tdc_core.N_1752") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_2)) )) - (net (rename cmp_tdc_tdc_core_N_1744 "cmp_tdc.tdc_core.N_1744") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) + (net (rename cmp_tdc_tdc_core_N_1717 "cmp_tdc.tdc_core.N_1717") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) )) - (net (rename cmp_tdc_tdc_core_N_1778 "cmp_tdc.tdc_core.N_1778") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) + (net (rename cmp_tdc_tdc_core_N_1751 "cmp_tdc.tdc_core.N_1751") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1)) )) - (net (rename cmp_tdc_tdc_core_N_3252 "cmp_tdc.tdc_core.N_3252") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_27)) + (net (rename cmp_tdc_tdc_core_N_1541 "cmp_tdc.tdc_core.N_1541") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) )) - (net (rename cmp_tdc_tdc_core_N_3251 "cmp_tdc.tdc_core.N_3251") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_17)) + (net (rename cmp_tdc_tdc_core_N_1529 "cmp_tdc.tdc_core.N_1529") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) )) - (net (rename cmp_tdc_tdc_core_N_3250 "cmp_tdc.tdc_core.N_3250") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_16)) + (net (rename cmp_tdc_tdc_core_N_1528 "cmp_tdc.tdc_core.N_1528") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) )) - (net (rename cmp_tdc_tdc_core_N_3249 "cmp_tdc.tdc_core.N_3249") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) + (net (rename cmp_tdc_tdc_core_N_1622 "cmp_tdc.tdc_core.N_1622") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_2)) )) - (net (rename cmp_tdc_tdc_core_N_3248 "cmp_tdc.tdc_core.N_3248") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_14)) + (net (rename cmp_tdc_tdc_core_N_3359 "cmp_tdc.tdc_core.N_3359") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_2)) )) - (net (rename cmp_tdc_tdc_core_N_3247 "cmp_tdc.tdc_core.N_3247") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_13)) + (net (rename cmp_tdc_tdc_core_N_3358 "cmp_tdc.tdc_core.N_3358") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_1)) )) - (net (rename cmp_tdc_tdc_core_N_3246 "cmp_tdc.tdc_core.N_3246") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + (net (rename cmp_tdc_tdc_core_N_1509 "cmp_tdc.tdc_core.N_1509") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) )) - (net (rename cmp_tdc_tdc_core_N_3245 "cmp_tdc.tdc_core.N_3245") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_19)) + (net (rename cmp_tdc_tdc_core_N_1236 "cmp_tdc.tdc_core.N_1236") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) )) - (net (rename cmp_tdc_tdc_core_N_1705 "cmp_tdc.tdc_core.N_1705") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) + (net (rename cmp_tdc_tdc_core_N_1700 "cmp_tdc.tdc_core.N_1700") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) )) - (net (rename cmp_tdc_tdc_core_N_1736 "cmp_tdc.tdc_core.N_1736") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) + (net (rename cmp_tdc_tdc_core_N_1731 "cmp_tdc.tdc_core.N_1731") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) )) - (net (rename cmp_tdc_tdc_core_N_1640 "cmp_tdc.tdc_core.N_1640") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_22)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_22)) + (net (rename cmp_tdc_tdc_core_N_1765 "cmp_tdc.tdc_core.N_1765") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_15)) )) - (net (rename cmp_tdc_tdc_core_N_3231 "cmp_tdc.tdc_core.N_3231") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_26)) + (net (rename cmp_tdc_tdc_core_N_1758 "cmp_tdc.tdc_core.N_1758") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_8)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_8)) )) - (net (rename cmp_tdc_tdc_core_N_2137 "cmp_tdc.tdc_core.N_2137") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + (net (rename cmp_tdc_tdc_core_N_3372 "cmp_tdc.tdc_core.N_3372") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) )) - (net (rename cmp_tdc_tdc_core_N_1695 "cmp_tdc.tdc_core.N_1695") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) + (net (rename cmp_tdc_tdc_core_N_3446 "cmp_tdc.tdc_core.N_3446") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_8)) )) - (net (rename cmp_tdc_tdc_core_N_1726 "cmp_tdc.tdc_core.N_1726") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) + (net (rename cmp_tdc_tdc_core_N_1510 "cmp_tdc.tdc_core.N_1510") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_15)) )) - (net (rename cmp_tdc_tdc_core_N_1760 "cmp_tdc.tdc_core.N_1760") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) + (net (rename cmp_tdc_tdc_core_N_2568 "cmp_tdc.tdc_core.N_2568") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_19)) )) - (net (rename cmp_tdc_tdc_core_N_1630 "cmp_tdc.tdc_core.N_1630") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + (net (rename cmp_tdc_tdc_core_N_2523 "cmp_tdc.tdc_core.N_2523") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_19)) )) - (net (rename cmp_tdc_tdc_core_N_2197 "cmp_tdc.tdc_core.N_2197") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_12)) + (net (rename cmp_tdc_tdc_core_N_2514 "cmp_tdc.tdc_core.N_2514") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_16)) )) - (net (rename cmp_tdc_tdc_core_N_3172 "cmp_tdc.tdc_core.N_3172") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_17)) + (net (rename cmp_tdc_tdc_core_N_2513 "cmp_tdc.tdc_core.N_2513") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_16)) )) - (net (rename cmp_tdc_tdc_core_N_3171 "cmp_tdc.tdc_core.N_3171") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_16)) + (net (rename cmp_tdc_tdc_core_N_216 "cmp_tdc.tdc_core.N_216") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNI9NOO6_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18)) )) - (net (rename cmp_tdc_tdc_core_N_3170 "cmp_tdc.tdc_core.N_3170") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_15)) + (net (rename cmp_tdc_tdc_core_N_1518 "cmp_tdc.tdc_core.N_1518") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_23)) )) - (net (rename cmp_tdc_tdc_core_N_3169 "cmp_tdc.tdc_core.N_3169") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_14)) + (net (rename cmp_tdc_tdc_core_N_181 "cmp_tdc.tdc_core.N_181") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_23)) )) - (net (rename cmp_tdc_tdc_core_N_3168 "cmp_tdc.tdc_core.N_3168") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_13)) + (net (rename cmp_tdc_tdc_core_N_133 "cmp_tdc.tdc_core.N_133") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10_23)) )) - (net (rename cmp_tdc_tdc_core_N_3166 "cmp_tdc.tdc_core.N_3166") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_11)) + (net (rename cmp_tdc_tdc_core_N_61_0 "cmp_tdc.tdc_core.N_61_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_23)) )) - (net (rename cmp_tdc_tdc_core_N_3164 "cmp_tdc.tdc_core.N_3164") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_9)) + (net (rename cmp_tdc_tdc_core_N_2450 "cmp_tdc.tdc_core.N_2450") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_23)) )) - (net (rename cmp_tdc_tdc_core_N_3163 "cmp_tdc.tdc_core.N_3163") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_8)) + (net (rename cmp_tdc_tdc_core_N_2449 "cmp_tdc.tdc_core.N_2449") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23)) )) - (net (rename cmp_tdc_tdc_core_N_3162 "cmp_tdc.tdc_core.N_3162") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) + (net (rename cmp_tdc_tdc_core_N_2437 "cmp_tdc.tdc_core.N_2437") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_18)) )) - (net (rename cmp_tdc_tdc_core_N_3160 "cmp_tdc.tdc_core.N_3160") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_5)) + (net (rename cmp_tdc_tdc_core_N_56_0 "cmp_tdc.tdc_core.N_56_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_18)) )) - (net (rename cmp_tdc_tdc_core_N_3159 "cmp_tdc.tdc_core.N_3159") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_4)) + (net (rename cmp_tdc_tdc_core_N_3375 "cmp_tdc.tdc_core.N_3375") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_18)) )) - (net (rename cmp_tdc_tdc_core_N_3158 "cmp_tdc.tdc_core.N_3158") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_3)) + (net (rename cmp_tdc_tdc_core_N_2431 "cmp_tdc.tdc_core.N_2431") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0)) )) - (net (rename cmp_tdc_tdc_core_N_3157 "cmp_tdc.tdc_core.N_3157") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_2)) + (net (rename cmp_tdc_tdc_core_N_2434 "cmp_tdc.tdc_core.N_2434") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0)) )) - (net (rename cmp_tdc_tdc_core_N_1707 "cmp_tdc.tdc_core.N_1707") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24)) + (net (rename cmp_tdc_tdc_core_N_2433 "cmp_tdc.tdc_core.N_2433") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_4_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_0)) )) - (net (rename cmp_tdc_tdc_core_N_1738 "cmp_tdc.tdc_core.N_1738") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24)) + (net (rename cmp_tdc_tdc_core_N_3427 "cmp_tdc.tdc_core.N_3427") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_0)) )) - (net (rename cmp_tdc_tdc_core_N_1642 "cmp_tdc.tdc_core.N_1642") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_24)) + (net (rename cmp_tdc_tdc_core_N_1646 "cmp_tdc.tdc_core.N_1646") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_26)) )) - (net (rename cmp_tdc_tdc_core_N_3099 "cmp_tdc.tdc_core.N_3099") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_24)) + (net (rename cmp_tdc_tdc_core_N_3513 "cmp_tdc.tdc_core.N_3513") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_30)) )) - (net (rename cmp_tdc_tdc_core_N_3604 "cmp_tdc.tdc_core.N_3604") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_22)) + (net (rename cmp_tdc_tdc_core_N_3512 "cmp_tdc.tdc_core.N_3512") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_2)) )) - (net (rename cmp_tdc_tdc_core_N_3603 "cmp_tdc.tdc_core.N_3603") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_21)) + (net (rename cmp_tdc_tdc_core_N_1706 "cmp_tdc.tdc_core.N_1706") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_21)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21)) )) - (net (rename cmp_tdc_tdc_core_N_2162 "cmp_tdc.tdc_core.N_2162") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_20_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) + (net (rename cmp_tdc_tdc_core_N_3502 "cmp_tdc.tdc_core.N_3502") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) )) - (net (rename cmp_tdc_tdc_core_N_1687 "cmp_tdc.tdc_core.N_1687") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) + (net (rename cmp_tdc_tdc_core_N_1643 "cmp_tdc.tdc_core.N_1643") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23)) )) - (net (rename cmp_tdc_tdc_core_N_1718 "cmp_tdc.tdc_core.N_1718") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_4)) + (net (rename cmp_tdc_tdc_core_N_3380 "cmp_tdc.tdc_core.N_3380") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_1_23)) )) - (net (rename cmp_tdc_tdc_core_N_1622 "cmp_tdc.tdc_core.N_1622") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_4)) + (net (rename cmp_tdc_tdc_core_N_3462 "cmp_tdc.tdc_core.N_3462") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_1_lut6_2_o5_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_13)) )) - (net (rename cmp_tdc_tdc_core_N_2189 "cmp_tdc.tdc_core.N_2189") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_4)) + (net (rename cmp_tdc_tdc_core_N_3461 "cmp_tdc.tdc_core.N_3461") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) )) - (net (rename cmp_tdc_tdc_core_N_2125 "cmp_tdc.tdc_core.N_2125") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_0)) + (net (rename cmp_tdc_tdc_core_N_1809 "cmp_tdc.tdc_core.N_1809") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25)) )) - (net (rename cmp_tdc_tdc_core_N_2343 "cmp_tdc.tdc_core.N_2343") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIMGQ01_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_0_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNISBHN2_4)) + (net (rename cmp_tdc_tdc_core_N_1806 "cmp_tdc.tdc_core.N_1806") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) )) - (net (rename cmp_tdc_tdc_core_N_3076 "cmp_tdc.tdc_core.N_3076") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_24)) + (net (rename cmp_tdc_tdc_core_N_1772 "cmp_tdc.tdc_core.N_1772") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_22)) )) - (net (rename cmp_tdc_tdc_core_N_2350 "cmp_tdc.tdc_core.N_2350") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_0_6)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIH78E4_3)) + (net (rename cmp_tdc_tdc_core_N_1549 "cmp_tdc.tdc_core.N_1549") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_22)) )) - (net (rename cmp_tdc_tdc_core_N_2349 "cmp_tdc.tdc_core.N_2349") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI11L12_6)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIH78E4_3)) + (net (rename cmp_tdc_tdc_core_N_1645 "cmp_tdc.tdc_core.N_1645") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_25)) )) - (net (rename cmp_tdc_tdc_core_N_106 "cmp_tdc.tdc_core.N_106") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNI5BSL_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_RNIF6JC2_4)) + (net (rename cmp_tdc_tdc_core_N_3382 "cmp_tdc.tdc_core.N_3382") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_25)) )) - (net (rename cmp_tdc_tdc_core_N_1712 "cmp_tdc.tdc_core.N_1712") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) + (net (rename cmp_tdc_tdc_core_N_1642 "cmp_tdc.tdc_core.N_1642") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_22)) )) - (net (rename cmp_tdc_tdc_core_N_1702 "cmp_tdc.tdc_core.N_1702") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19)) + (net (rename cmp_tdc_tdc_core_N_3379 "cmp_tdc.tdc_core.N_3379") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_22)) )) - (net (rename cmp_tdc_tdc_core_N_1697 "cmp_tdc.tdc_core.N_1697") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) + (net (rename cmp_tdc_tdc_core_N_1582 "cmp_tdc.tdc_core.N_1582") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22)) )) - (net (rename cmp_tdc_tdc_core_N_1745 "cmp_tdc.tdc_core.N_1745") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) + (net (rename cmp_tdc_tdc_core_N_1244 "cmp_tdc.tdc_core.N_1244") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_22)) )) - (net (rename cmp_tdc_tdc_core_N_1779 "cmp_tdc.tdc_core.N_1779") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) + (net (rename cmp_tdc_tdc_core_N_3447 "cmp_tdc.tdc_core.N_3447") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_9)) )) - (net (rename cmp_tdc_tdc_core_N_1733 "cmp_tdc.tdc_core.N_1733") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19)) + (net (rename cmp_tdc_tdc_core_N_1796 "cmp_tdc.tdc_core.N_1796") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) )) - (net (rename cmp_tdc_tdc_core_N_1767 "cmp_tdc.tdc_core.N_1767") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_19)) + (net (rename cmp_tdc_tdc_core_N_1787 "cmp_tdc.tdc_core.N_1787") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_3)) )) (net (rename cmp_tdc_tdc_core_N_1728 "cmp_tdc.tdc_core.N_1728") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) - )) - (net (rename cmp_tdc_tdc_core_N_1762 "cmp_tdc.tdc_core.N_1762") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_12)) )) - (net (rename cmp_tdc_tdc_core_N_1556 "cmp_tdc.tdc_core.N_1556") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_31)) + (net (rename cmp_tdc_tdc_core_N_3369 "cmp_tdc.tdc_core.N_3369") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) )) - (net (rename cmp_tdc_tdc_core_N_1544 "cmp_tdc.tdc_core.N_1544") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_19)) + (net (rename cmp_tdc_tdc_core_N_2199 "cmp_tdc.tdc_core.N_2199") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12)) )) - (net (rename cmp_tdc_tdc_core_N_1539 "cmp_tdc.tdc_core.N_1539") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_14)) + (net (rename cmp_tdc_tdc_core_N_3432 "cmp_tdc.tdc_core.N_3432") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_31)) )) - (net (rename cmp_tdc_tdc_core_N_1637 "cmp_tdc.tdc_core.N_1637") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_0_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_19)) + (net (rename cmp_tdc_tdc_core_N_3316 "cmp_tdc.tdc_core.N_3316") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_31)) )) - (net (rename cmp_tdc_tdc_core_N_1632 "cmp_tdc.tdc_core.N_1632") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_RNO_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_14)) + (net (rename cmp_tdc_tdc_core_N_3310 "cmp_tdc.tdc_core.N_3310") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_m2_lut6_2_o5_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_0)) )) - (net (rename cmp_tdc_tdc_core_N_1239 "cmp_tdc.tdc_core.N_1239") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_19)) + (net (rename cmp_tdc_tdc_core_N_3414 "cmp_tdc.tdc_core.N_3414") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_30)) )) - (net (rename cmp_tdc_tdc_core_N_1234 "cmp_tdc.tdc_core.N_1234") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_14)) + (net (rename cmp_tdc_tdc_core_N_1774 "cmp_tdc.tdc_core.N_1774") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_3_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_2_24)) )) - (net (rename cmp_tdc_tdc_core_N_1243 "cmp_tdc.tdc_core.N_1243") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_22)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_23)) + (net (rename cmp_tdc_tdc_core_N_1551 "cmp_tdc.tdc_core.N_1551") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_24)) )) - (net (rename cmp_tdc_tdc_core_N_1463 "cmp_tdc.tdc_core.N_1463") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_2)) + (net (rename cmp_tdc_tdc_core_N_3383 "cmp_tdc.tdc_core.N_3383") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_0_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_26)) )) - (net (rename cmp_tdc_tdc_core_N_1229 "cmp_tdc.tdc_core.N_1229") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o6_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_9)) + (net (rename cmp_tdc_tdc_core_N_1714 "cmp_tdc.tdc_core.N_1714") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_31)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31)) )) - (net (rename cmp_tdc_tdc_core_N_1225 "cmp_tdc.tdc_core.N_1225") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_5)) + (net (rename cmp_tdc_tdc_core_N_1713 "cmp_tdc.tdc_core.N_1713") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_30)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) )) - (net (rename cmp_tdc_tdc_core_N_1533 "cmp_tdc.tdc_core.N_1533") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) + (net (rename cmp_tdc_tdc_core_N_1814 "cmp_tdc.tdc_core.N_1814") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_30)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_30)) )) - (net (rename cmp_tdc_tdc_core_N_1506 "cmp_tdc.tdc_core.N_1506") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_13)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_13)) + (net (rename cmp_tdc_tdc_core_N_1711 "cmp_tdc.tdc_core.N_1711") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_31_26)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) )) - (net (rename cmp_tdc_tdc_core_N_1501 "cmp_tdc.tdc_core.N_1501") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_8)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) + (net (rename cmp_tdc_tdc_core_N_1810 "cmp_tdc.tdc_core.N_1810") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26)) )) - (net (rename cmp_tdc_tdc_core_N_1233 "cmp_tdc.tdc_core.N_1233") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_13)) + (net (rename cmp_tdc_tdc_core_N_1780 "cmp_tdc.tdc_core.N_1780") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_30)) )) - (net (rename cmp_tdc_tdc_core_N_1228 "cmp_tdc.tdc_core.N_1228") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_8)) + (net (rename cmp_tdc_tdc_core_N_1776 "cmp_tdc.tdc_core.N_1776") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_26)) )) - (net (rename cmp_tdc_tdc_core_N_1095 "cmp_tdc.tdc_core.N_1095") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_1)) + (net (rename cmp_tdc_tdc_core_N_1565 "cmp_tdc.tdc_core.N_1565") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_5)) )) - (net (rename cmp_tdc_tdc_core_N_1542 "cmp_tdc.tdc_core.N_1542") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) + (net (rename cmp_tdc_tdc_core_N_1894 "cmp_tdc.tdc_core.N_1894") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_1_lut6_2_o5_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_1_1)) )) - (net (rename cmp_tdc_tdc_core_N_1478 "cmp_tdc.tdc_core.N_1478") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) + (net (rename cmp_tdc_tdc_core_N_3309 "cmp_tdc.tdc_core.N_3309") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_21_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0_24)) )) - (net (rename cmp_tdc_tdc_core_N_1510 "cmp_tdc.tdc_core.N_1510") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_17)) + (net (rename cmp_tdc_tdc_core_N_1557 "cmp_tdc.tdc_core.N_1557") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_30)) )) - (net (rename cmp_tdc_tdc_core_N_1237 "cmp_tdc.tdc_core.N_1237") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_lut6_2_o5_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_17)) + (net (rename cmp_tdc_tdc_core_N_1574 "cmp_tdc.tdc_core.N_1574") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_27_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_14)) )) - (net (rename cmp_tdc_tdc_core_N_1488 "cmp_tdc.tdc_core.N_1488") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_27)) + (net (rename cmp_tdc_tdc_core_N_1231 "cmp_tdc.tdc_core.N_1231") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_16_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_9)) )) - (net (rename cmp_tdc_tdc_core_N_1121 "cmp_tdc.tdc_core.N_1121") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o6_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_27)) + (net (rename cmp_tdc_tdc_core_N_1504 "cmp_tdc.tdc_core.N_1504") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_9)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) )) - (net (rename cmp_tdc_tdc_core_N_1116 "cmp_tdc.tdc_core.N_1116") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_22)) + (net (rename cmp_tdc_tdc_core_N_1472 "cmp_tdc.tdc_core.N_1472") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_9)) )) - (net (rename cmp_tdc_tdc_core_N_1117 "cmp_tdc.tdc_core.N_1117") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_23)) + (net (rename cmp_tdc_tdc_core_N_14_0 "cmp_tdc.tdc_core.N_14_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_11_i_m2_lut6_2_o5_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_1_2)) )) - (net (rename cmp_tdc_tdc_core_N_1537 "cmp_tdc.tdc_core.N_1537") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_12)) + (net (rename cmp_tdc_tdc_core_N_980 "cmp_tdc.tdc_core.N_980") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_lut6_2_o5_17)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_14)) )) - (net (rename cmp_tdc_tdc_core_N_1461 "cmp_tdc.tdc_core.N_1461") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_0)) + (net (rename cmp_tdc_tdc_core_N_1142 "cmp_tdc.tdc_core.N_1142") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_14)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_14)) )) - (net (rename cmp_tdc_tdc_core_N_1094 "cmp_tdc.tdc_core.N_1094") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_0)) + (net (rename cmp_tdc_tdc_core_N_50_0 "cmp_tdc.tdc_core.N_50_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_1_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_2)) )) - (net (rename cmp_tdc_tdc_core_N_1097 "cmp_tdc.tdc_core.N_1097") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_12_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) + (net (rename cmp_tdc_tdc_core_N_40_0 "cmp_tdc.tdc_core.N_40_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIOMCR_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_9)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_1)) )) - (net (rename cmp_tdc_tdc_core_N_669 "cmp_tdc.tdc_core.N_669") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_0_lut6_2_o6_2)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) + (net (rename cmp_tdc_tdc_core_acam_config_4_m_23 "cmp_tdc.tdc_core.acam_config_4_m(23)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_10 "cmp_tdc.tdc_core.acam_config_5_m(10)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10)) + (net (rename cmp_tdc_tdc_core_acam_config_3_m_4 "cmp_tdc.tdc_core.acam_config_3_m(4)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIGAKD8_o6_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) )) - (net (rename cmp_tdc_tdc_core_N_1464 "cmp_tdc.tdc_core.N_1464") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) + (net (rename cmp_tdc_tdc_core_N_1411 "cmp_tdc.tdc_core.N_1411") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) )) - (net (rename cmp_tdc_tdc_core_N_1496 "cmp_tdc.tdc_core.N_1496") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_3)) + (net (rename cmp_tdc_tdc_core_N_978 "cmp_tdc.tdc_core.N_978") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_12)) )) - (net (rename cmp_tdc_tdc_core_acam_config_5_m_13 "cmp_tdc.tdc_core.acam_config_5_m(13)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13)) + (net (rename cmp_tdc_tdc_core_N_2347 "cmp_tdc.tdc_core.N_2347") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_22_i_m2_0_lut6_2_o5_13)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_RNO_3_2)) )) - (net (rename cmp_tdc_tdc_core_N_1462 "cmp_tdc.tdc_core.N_1462") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) + (net (rename cmp_tdc_tdc_core_N_969 "cmp_tdc.tdc_core.N_969") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_3)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_3)) )) - (net (rename cmp_tdc_tdc_core_N_1494 "cmp_tdc.tdc_core.N_1494") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) + (net (rename cmp_tdc_tdc_core_N_1131 "cmp_tdc.tdc_core.N_1131") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_i_m2_lut6_2_o5_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_RNO_5_3)) )) - (net (rename cmp_tdc_tdc_core_N_1520 "cmp_tdc.tdc_core.N_1520") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_27)) + (net (rename cmp_tdc_tdc_core_N_990 "cmp_tdc.tdc_core.N_990") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_8_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_24)) )) - (net (rename cmp_tdc_tdc_core_acam_config_9_m_22 "cmp_tdc.tdc_core.acam_config_9_m(22)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_RNO_22)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22)) + (net (rename cmp_tdc_tdc_core_N_1152 "cmp_tdc.tdc_core.N_1152") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_13_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_7_24)) )) - (net (rename cmp_tdc_tdc_core_acam_config_4_m_22 "cmp_tdc.tdc_core.acam_config_4_m(22)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_RNO_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22)) + (net (rename cmp_tdc_tdc_core_acam_config_3_m_25 "cmp_tdc.tdc_core.acam_config_3_m(25)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o6_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_8 "cmp_tdc.tdc_core.acam_config_6_m(8)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_RNO_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8)) + (net (rename cmp_tdc_tdc_core_N_690 "cmp_tdc.tdc_core.N_690") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2_lut6_2_o5_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_0)) )) - (net (rename cmp_tdc_tdc_core_N_1473 "cmp_tdc.tdc_core.N_1473") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_24_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_12)) + (net (rename cmp_tdc_tdc_core_acam_config_3_m_26 "cmp_tdc.tdc_core.acam_config_3_m(26)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIRP3U7_o5_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_0 "cmp_tdc.tdc_core.acam_config_6_m(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_engine_fsm_comb_un15_acam_ack_i_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_24 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(24)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_24)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82)) )) - (net (rename cmp_tdc_tdc_core_acam_config_6_m_3 "cmp_tdc.tdc_core.acam_config_6_m(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o24_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_26 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(26)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82)) )) - (net (rename cmp_tdc_tdc_core_N_2617 "cmp_tdc.tdc_core.N_2617") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_21 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(21)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) )) - (net (rename cmp_tdc_tdc_core_N_2606 "cmp_tdc.tdc_core.N_2606") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_22 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(22)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) )) - (net (rename cmp_tdc_tdc_core_N_2619 "cmp_tdc.tdc_core.N_2619") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_23 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(23)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) )) - (net (rename cmp_tdc_tdc_core_N_2608 "cmp_tdc.tdc_core.N_2608") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_18 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(18)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) )) - (net (rename cmp_tdc_tdc_core_N_2597 "cmp_tdc.tdc_core.N_2597") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_19 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(19)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) )) - (net (rename cmp_tdc_tdc_core_N_2596 "cmp_tdc.tdc_core.N_2596") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_12_RNIMO211)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_20 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(20)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) )) - (net (rename cmp_tdc_tdc_core_N_2595 "cmp_tdc.tdc_core.N_2595") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_17 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(17)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) )) - (net (rename cmp_tdc_tdc_core_N_2594 "cmp_tdc.tdc_core.N_2594") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) + (net (rename cmp_tdc_tdc_core_N_2872 "cmp_tdc.tdc_core.N_2872") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_22 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(22)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) + (net (rename cmp_tdc_tdc_core_N_2861 "cmp_tdc.tdc_core.N_2861") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_21 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) + (net (rename cmp_tdc_tdc_core_N_2874 "cmp_tdc.tdc_core.N_2874") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_N_52 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_N_52") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34)) + (net (rename cmp_tdc_tdc_core_N_2863 "cmp_tdc.tdc_core.N_2863") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_9 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(9)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) + (net (rename cmp_tdc_tdc_core_N_2853 "cmp_tdc.tdc_core.N_2853") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_N_51 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_N_51") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34_RNO)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_34)) + (net (rename cmp_tdc_tdc_core_N_2851 "cmp_tdc.tdc_core.N_2851") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_11 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_3)) + (net (rename cmp_tdc_tdc_core_N_2850 "cmp_tdc.tdc_core.N_2850") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_overZ0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_un_current_retrig_from_roll_over") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_i_m3_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_3_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un_clk_i_cycles_offset_3_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_local_utc_3_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_RNO_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_17)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_19)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_25)) (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20)) - )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_18 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_11_iv_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_15 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(15)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_10_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_30 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(30)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_88)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_31 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(31)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_88)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) - )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_27 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(27)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_28 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(28)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50)) - )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_29 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(29)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_50)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_overZ0Z_25 "cmp_tdc.tdc_core.data_formatting_block.un_current_retrig_from_roll_over(25)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_82)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_N_30 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_retrig_nb_offset_i_0_N_30") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58_RNO)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_58)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lt12 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lt12") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6_1)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lt4 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lt4") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.vector_or.un188_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o6)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un1085_tmp_1") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o5)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_0)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_vector_or_vector_or_vector_or_un832_tmp "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.3.vector_or.vector_or.vector_or.vector_or.un832_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o5)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_1)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_1_0)) )) - (net N_1915 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o5)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_15)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_14)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_13)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_12)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_11)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_10)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_9)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_8)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_7)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_6)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_5)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_4)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_3)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_2)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_1)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_0)) + (net (rename cnx_master_out_5_adr_3 "cnx_master_out_5.adr(3)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7OL91_o6_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_m10_3)) )) - (net N_1932 (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI8F901_o6_4)) - (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o5)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.3.vector_or.un768_tmp_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_1_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_bus_wenZ0 "cmp_carrier_onewire.U_Wrapped_1W.bus_wen") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_bus_wen)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o5)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNIATCU_o6)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_988_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_991_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_986_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_987_m1)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_980_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_981_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_985_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_989_m1)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_984_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_979_m1)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIKEGC1_1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_990_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_983_m1)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIJEGC1_0)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_992_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_993_m1)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_994_m1)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_973_m2)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_RNO_7)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_982_m1)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_un2067_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.vector_or.vector_or.vector_or.vector_or.un2067_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un188_tmp_lut6_2_o5)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0_0)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_stsZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_ren_ctl_sts") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmpZ0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.0.vector_or.un1724_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un4_owr_cyc_1") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o6)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_3)) + (net (rename cnx_master_out_5_adr_16 "cnx_master_out_5.adr(16)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPI4B1_o5_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un1724_tmp)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_ovd_NE_2") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_2_lut6_2_o5)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.vector_or.un210_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un210_tmp_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un4_owr_cyc") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_owr_cyc_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNO)) + (net (rename cnx_master_out_5_adr_9 "cnx_master_out_5.adr(9)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o6_9)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1)) )) - (net N_1711 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_RNIURA31_o6_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmpZ0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.un155_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2750_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.vector_or.vector_or.vector_or.un2750_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_25_lut6_2_o5_7)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_1)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_vector_or_un170_tmp "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.vector_or.un170_tmp") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIV1IM1_o5_6)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_un155_tmp)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(2)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.vector_or.vector_or.vector_or.vector_or.vector_or.un2028_tmp") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o6)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.vector_or.vector_or.vector_or.un2636_tmp") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmpZ0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un3285_tmp") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_21_5)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(5)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmpZ0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.1.vector_or.un3605_tmp") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(12)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_12)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmpZ0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.un2651_tmp") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(13)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2Z0Z_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2(0)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) + (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_0)) )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(14)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net m11_s_0 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_un92_request)) + (portRef I5 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) + (portRef I5 (instanceRef cmp_carrier_csr_ack_in_progress_RNILVL31)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e_RNO)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_218 "cmp_tdc.un1_cmp_sdb_crossbar_1(218)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_5)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_5_vector_or_vector_or_vector_or_vector_or_un2330_tmp "cmp_sdb_crossbar.crossbar.matrix_logic.0.5.vector_or.vector_or.vector_or.vector_or.un2330_tmp") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) )) - (net N_17 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0)) + (net (rename cnx_master_out_5_adr_8 "cnx_master_out_5.adr(8)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o6_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_m10_3)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop1.irq_pending_14(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o6_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_19_1)) + (net (rename cnx_master_out_5_adr_14 "cnx_master_out_5.adr(14)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI5H281_o5_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_1") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmpZ0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.un465_tmp") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un465_tmp)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_1_0)) )) - (net N_1663_1 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIPN0O1_o5_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o5_6)) - (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) + (net (rename cmp_tdc_N_831 "cmp_tdc.N_831") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net N_1731 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI9FGM1_o6_12)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) + (net (rename cmp_tdc_N_10_0 "cmp_tdc.N_10_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o6_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_7)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_e_3)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc_2") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1)) - )) - (net N_1656 (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o5_5)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3)) + (net (rename cmp_tdc_N_12_0 "cmp_tdc.N_12_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_5)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_7_1_lut6_2_o5_25)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) + (net (rename cmp_tdc_N_834 "cmp_tdc.N_834") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o5_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) + (net (rename cmp_tdc_N_833 "cmp_tdc.N_833") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_28_1_lut6_2_o5_4)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) + (net (rename cmp_tdc_N_836 "cmp_tdc.N_836") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_203)) + (net (rename cmp_tdc_N_80 "cmp_tdc.N_80") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) + (net (rename cmp_tdc_N_85 "cmp_tdc.N_85") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) + (net (rename cmp_tdc_N_147 "cmp_tdc.N_147") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) + (net (rename cmp_tdc_N_185 "cmp_tdc.N_185") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIE5NF_o5_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_4_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_4(4)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_221)) + (net (rename cnx_master_out_5_dat_2 "cnx_master_out_5.dat(2)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o6_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS4Q83_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) + (net (rename cnx_master_out_5_dat_0 "cnx_master_out_5.dat(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_24_lut6_2_o5_8)) + (portRef I1 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS4Q83_3)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) + (net (rename cnx_master_out_5_adr_2 "cnx_master_out_5.adr(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNIBKNA1_o6)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNI0P5L3)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) )) - (net N_183 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I4 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.ack_row_5(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o6_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3)) )) - (net (rename cnx_master_out_4_dat_1 "cnx_master_out_4.dat(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_31_1_lut6_2_o6_1)) - (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_2Z0Z_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.ack_row_2(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_2_5)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.ack_row_4(3)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13_1)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI1OD24_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_4(2)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_225)) + (net N_56_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_3(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o6_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) + (net N_191 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) + )) + (net N_243 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o6_4)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) )) (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5Z0Z_1 "cmp_sdb_crossbar.crossbar.matrix_logic.6.0.matrix_new_5(1)") (joined (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_1)) )) - (net (rename cnx_master_out_4_dat_0 "cnx_master_out_4.dat(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) - (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17Z0Z_4 "cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_17(4)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) + (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_4)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_5(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13Z0Z_3 "cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_13(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) + (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_3)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25Z0Z_6 "cmp_sdb_crossbar.crossbar.matrix_logic.1.0.matrix_new_25(6)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) + (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_162)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29Z0Z_7 "cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_29(7)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) + (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_7)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_9_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) + (net (rename cnx_master_out_4_dat_0 "cnx_master_out_4.dat(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_result_2_lut6_2_o6_0)) + (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) + (net (rename cnx_master_out_4_dat_1 "cnx_master_out_4.dat(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII4G21_o5_2)) + (portRef I5 (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_pending_19_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) + (net N_1301 (joined + (portRef O (instanceRef cmp_dma_eic_ack_sreg_RNIKS5P_o6_0)) + (portRef I1 (instanceRef cmp_carrier_csr_ack_sreg_RNI729T4_0)) )) - (net (rename gnum_interface_block_cmp_dma_controller_wb_adr_i_i_2_0 "gnum_interface_block.cmp_dma_controller.wb_adr_i_i_2(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o6_2)) - (portRef S (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) + (net N_1319 (joined + (portRef O (instanceRef cmp_dma_eic_ack_in_progress_RNIF7OM1_o6)) + (portRef I4 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) + (portRef I5 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) + (portRef I5 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) )) - (net (rename cnx_master_out_2_we_i_0 "cnx_master_out_2.we_i_0") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_oe_2_we_i_lut6_2_o6)) - (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_1)) - (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_0)) - (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_3)) + (net N_1343 (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) )) - (net (rename cnx_master_out_2_adr_i_0_3 "cnx_master_out_2.adr_i_0(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o6_3)) - (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_1)) - (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_0)) - (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.wb_wacc") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIPJO81_o6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_5)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_RNI2QQM2_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_4)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_0_6)) )) - (net (rename cnx_master_out_0_adr_3 "cnx_master_out_0.adr(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o6_3)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) + (net (rename cnx_master_out_5_we "cnx_master_out_5.we") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_23_lut6_2_o5_9)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNI0P5L3)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_m10_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_59 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_59") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_261)) )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_219 "cmp_tdc.un1_cmp_sdb_crossbar_1(219)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o6_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_e_3)) + (net N_233_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI418T_o6_0)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_64 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_64") (joined (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_67 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_67") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_80 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_80") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_83 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_83") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_28_0_a2_lut6_2_o5_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) - )) - (net N_85 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) - )) - (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_217 "cmp_tdc.un1_cmp_sdb_crossbar_1(217)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_30_lut6_2_o6_2)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_5)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_218 "cmp_tdc.un1_cmp_sdb_crossbar_1(218)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIAU3M1_o5_3)) + (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_1)) (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_2)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) )) - (net N_92 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) - )) - (net N_104 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o5_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_2_1") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI68PC1_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8CPC1_1)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAGPC1_2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICKPC1_3)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIEOPC1_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIGSPC1_5)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII0QC1_6)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIK4QC1_7)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITT3L1_8)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIV54L1_9)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8C8C1_10)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAG8C1_11)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICK8C1_12)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_211 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_211") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_st_irq_block_gen_sr_bits_tip_1_lut6_2_o5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0)) - )) - (net N_95 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_go_1_i_0_o2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_80 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_80") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_210 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_210") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0)) + (net (rename cmp_tdc_un1_cmp_sdb_crossbar_1_217 "cmp_tdc.un1_cmp_sdb_crossbar_1(217)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNII6PO1_o6_3)) + (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_1)) + (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) + (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_3)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) )) - (net N_2 (joined - (portRef O5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_i_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + (net (rename cmp_tdc_N_160 "cmp_tdc.N_160") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o6_2)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17)) - (portRef I5 (instanceRef nxt_state_decoder_isda_oen_4_iv_i)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_core_txd_7)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_0)) )) - (net N_178 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o5_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2)) + (net (rename cmp_tdc_N_65_0 "cmp_tdc.N_65_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_RNI8EOI_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o5_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) )) - (net N_122 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) + (net (rename cmp_tdc_N_176 "cmp_tdc.N_176") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) )) - (net N_9_0 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI8LDE1_o6_7)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI593D2_3)) + (net (rename cmp_tdc_N_1057 "cmp_tdc.N_1057") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o6_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0)) )) - (net N_1698 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + (net (rename cmp_tdc_N_1008 "cmp_tdc.N_1008") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0)) )) - (net N_53_0 (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) + (net (rename cmp_tdc_N_1038 "cmp_tdc.N_1038") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_5)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5)) )) - (net N_49_0 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_3)) - (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (net (rename cmp_tdc_N_1037 "cmp_tdc.N_1037") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5)) )) - (net N_46_0 (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (net (rename cmp_tdc_N_1059 "cmp_tdc.N_1059") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_a2_lut6_2_o6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2)) )) - (net N_39_0 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_4)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (net (rename cnx_master_out_2_we "cnx_master_out_2.we") (joined + (portRef O (instanceRef cmp_carrier_csr_rddata_reg_RNITNIJ_o6_0)) + (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_0)) + (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_1)) + (portRef I4 (instanceRef cmp_carrier_csr_rddata_reg_22_3)) )) - (net (rename cmp_tdc_tdc_core_N_30_0 "cmp_tdc.tdc_core.N_30_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_m29)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIHRL37)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNILGG88)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1)) - )) - (net (rename cmp_tdc_tdc_core_N_5_0 "cmp_tdc.tdc_core.N_5_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_m4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o6)) + (net (rename cnx_master_out_2_adr_3 "cnx_master_out_2.adr(3)") (joined + (portRef O (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_RNIUBB41_o6_7)) + (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_0)) + (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_1)) + (portRef I3 (instanceRef cmp_carrier_csr_rddata_reg_22_3)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_182)) + (net (rename gnum_interface_block_cmp_dma_controller_N_84 "gnum_interface_block.cmp_dma_controller.N_84") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_181)) + (net (rename gnum_interface_block_cmp_dma_controller_N_88 "gnum_interface_block.cmp_dma_controller.N_88") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_180)) + (net (rename gnum_interface_block_cmp_dma_controller_N_137 "gnum_interface_block.cmp_dma_controller.N_137") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2EMF1_o5_26)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_3(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o6_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_185)) + (net (rename gnum_interface_block_cmp_dma_controller_N_134 "gnum_interface_block.cmp_dma_controller.N_134") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_184)) + (net (rename gnum_interface_block_cmp_dma_controller_N_81 "gnum_interface_block.cmp_dma_controller.N_81") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un2_wb_cyc_i_0_o2_lut6_2_o6_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_183)) + (net (rename cnx_master_out_5_stb "cnx_master_out_5.stb") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_oe_5_stb_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_179)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2Z0Z_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.6.selected_2(0)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_0)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_198)) + (net (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_19 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_19") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o6)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_1_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_RNIPHMF6_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_197)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_0(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_265)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_196)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7Z0Z_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_7(1)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_264)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_6(2)") (joined + (portRef O (instanceRef cmp_carrier_csr_rddata_reg_RNITNIJ_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_263)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4Z0Z_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_4(4)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_262)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_3(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o6_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9Z0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_9(2)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_2)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_195)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5Z0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.5.0.matrix_new_5(1)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_5_1)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_1)) )) - (net N_181 (joined - (portRef O (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2_0_lut6_2_o6)) - (portRef I5 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) - (portRef I5 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) - (portRef I5 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_208_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_208_1") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_1_3)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_1_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_0_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3)) - )) - (net N_1672 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o6)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_17_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.2.0.matrix_new_17(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o5)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_4)) )) - (net N_1678 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o6)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_63 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_63") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5Z0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_5(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1)) - (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_1)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_79 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_79") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) )) - (net N_2375 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIP6BG1_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_1_0)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_0_0)) + (net (rename cmp_tdc_N_39_0 "cmp_tdc.N_39_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIRFCP2_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJCP2_1)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNCP2_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SCP2_3)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI30DP2_4)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI54DP2_5)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI78DP2_6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI9CDP2_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII5N13_8)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIKDN13_9)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJRO2_10)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNRO2_11)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SRO2_12)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9Z0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_9(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2)) - (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_53 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_53") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) )) - (net N_2376 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_1_0)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_0_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_58 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_58") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_5)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21Z0Z_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_21(5)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5)) - (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_59 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_59") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.2.0.matrix_new_13(3)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3)) - (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_3)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_61 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_61") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_28_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_17_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.1.0.matrix_new_17(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o5_6)) - (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_4)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_66 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_66") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_lut6_2_o6_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_5)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.5.selected_2(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_0)) - (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_67 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_67") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_6)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) )) - (net N_2368 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o6_10)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3)) - )) - (net N_2369 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o5_8)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2)) - )) - (net N_2384 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o6_8)) - (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_5_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_93 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_93") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) )) - (net N_2394 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o6_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_98 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_98") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_5)) )) - (net N_2389 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o5_4)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI0TTB5_3)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_99 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_99") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_6_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_6)) )) - (net N_2371 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI7PNT3_10)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIU5RK6_o5_6)) - (portRef S (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_13_3)) + (net N_148 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIHH1F1_o5_4)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13Z0Z_3 "cmp_sdb_crossbar.crossbar.matrix_logic.4.0.matrix_new_13(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) - (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_3)) + (net N_896 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename gnum_interface_block_cmp_dma_controller_N_88 "gnum_interface_block.cmp_dma_controller.N_88") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_11_i_o2_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_1_sqmuxa_0_a2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) + (net N_53 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) )) - (net (rename gnum_interface_block_cmp_dma_controller_N_137 "gnum_interface_block.cmp_dma_controller.N_137") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_30_i_lut6_2_o5_2)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) + (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_stsZ0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.bus_ren_ctl_sts") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) )) - (net (rename gnum_interface_block_cmp_dma_controller_N_81 "gnum_interface_block.cmp_dma_controller.N_81") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o5_7)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_1_0)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) + (net N_217_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0_0)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) )) - (net (rename gnum_interface_block_cmp_dma_controller_N_84 "gnum_interface_block.cmp_dma_controller.N_84") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_o2_lut6_2_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) + (net N_2038 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNIVPJE1_o6_1)) + (portRef I0 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_4)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_irq_master_o_e)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusyZ0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_r_2)) + (net (rename cmp_tdc_N_174 "cmp_tdc.N_174") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_3)) )) - (net N_2348 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI44DH1_o6_8)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp)) + (net (rename cmp_tdc_N_1014 "cmp_tdc.N_1014") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0_o2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_ld_5_iv_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmpZ0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.vector_or.un2978_tmp") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_vector_or_un2978_tmp)) - (portRef I3 (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o5)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) + (net (rename cmp_tdc_N_1056 "cmp_tdc.N_1056") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_0_a2_lut6_2_o5_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17Z0Z_4 "cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_17(4)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) - (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_4)) + (net (rename cmp_tdc_N_1061 "cmp_tdc.N_1061") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o5_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_5)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29Z0Z_7 "cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_29(7)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) - (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_7)) + (net (rename cmp_tdc_N_1017 "cmp_tdc.N_1017") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2)) )) - (net N_2350 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIKTHB1_o5_8)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) + (net N_939 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o6)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) )) - (net N_2352 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT0902_8)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) + (net (rename cnx_master_out_0_adr_2 "cnx_master_out_0.adr(2)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNI2NVQ_o5_29)) + (portRef S (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_136 "gnum_interface_block.cmp_dma_controller.N_136") (joined - (portRef O6 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_rwsel_e)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_rwsel_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_rwsel_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstartl_lw_1_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_cstart_lw_1_sqmuxa_2_i_s_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_stat_lw_1_sqmuxa_2_i_s_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_ctrl_lw_0_sqmuxa_2_i_s_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) )) - (net (rename gnum_interface_block_cmp_dma_controller_N_134 "gnum_interface_block.cmp_dma_controller.N_134") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_1_sqmuxa_1_0_a2_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_rwsel_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_hstarth_lw_1_sqmuxa_2_i_s_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nextl_lw_1_sqmuxa_2_i_s_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_len_lw_1_sqmuxa_2_i_s_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_dma_nexth_lw_1_sqmuxa_2_i_s_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) + (net (rename cnx_master_out_0_adr_3 "cnx_master_out_0.adr(3)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIBJKR_o6_14)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw_read_in_progress_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_lw_read_in_progress_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw_read_in_progress_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_read_in_progress_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_read_in_progress_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw_read_in_progress_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_lw_read_in_progress_e)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_lw_read_in_progress_e)) + )) + (net (rename cmp_tdc_tdc_core_N_1892 "cmp_tdc.tdc_core.N_1892") (joined + (portRef O6 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_ns_i_x2_lut6_2_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_rd_wb_st_0)) + )) + (net (rename cmp_tdc_tdc_core_N_988 "cmp_tdc.tdc_core.N_988") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) + )) + (net (rename cmp_tdc_N_79_1 "cmp_tdc.N_79_1") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_1_0_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_2)) + )) + (net (rename cmp_tdc_N_1034 "cmp_tdc.N_1034") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNI7HU71_o5_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_3)) + )) + (net N_14_0 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_RNIGIAE1_o5)) + (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o5)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) + )) + (net N_2034 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNI358T_o6_1)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) + )) + (net N_1357 (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o6_10)) + (portRef I5 (instanceRef cmp_carrier_csr_ack_sreg_RNI729T4_0)) + )) + (net N_1354 (joined + (portRef O (instanceRef cmp_carrier_csr_ack_sreg_RNI2KJ7_o6_0)) + (portRef I2 (instanceRef cmp_carrier_csr_ack_sreg_RNI729T4_0)) + )) + (net N_1352 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNIBEUV_o6_0)) + (portRef I3 (instanceRef cmp_carrier_csr_ack_sreg_RNI729T4_0)) + )) + (net N_1350 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o6_1)) + (portRef I4 (instanceRef cmp_carrier_csr_ack_sreg_RNI729T4_0)) + )) + (net N_1345 (joined + (portRef O (instanceRef cmp_sdb_crossbar_rom_slave_o_ack_RNIDFFD1_o6)) + (portRef I0 (instanceRef cmp_carrier_csr_ack_sreg_RNI729T4_0)) + )) + (net (rename cnx_master_in_5_stall "cnx_master_in_5.stall") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_ack_sreg_RNIPHMF6_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) + )) + (net N_1331 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o6_5)) + (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_0)) + (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_1)) + (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_3)) + )) + (net N_136 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO)) + )) + (net N_131 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO)) + )) + (net N_120 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + )) + (net N_115 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + )) + (net N_104 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO)) + )) + (net N_826 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_7(1)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_6Z0Z_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_6(2)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_7(1)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_234)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) )) - (net N_1680 (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_1)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_7(1)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_4)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_0_4_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_0_4(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_0_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_0_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_1)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_0_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_0_3(3)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_2_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_235)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_2)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2Z0Z_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2(0)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) - (portRef D (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_0)) + (net N_69 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) )) - (net N_13 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o6_5)) - (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_1)) - (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_0)) - (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_22_3)) + (net N_900 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + )) + (net (rename cmp_tdc_N_8_0 "cmp_tdc.N_8_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI5PTU_4)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_5)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o5_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIBAJA1_o6_2)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNI0P5L3)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_5Z0Z_0 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_pending_5(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_5_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_pending_10_0)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_stsZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.bus_ren_ctl_sts") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_ren_ctl_sts)) + (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_3_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) + (net (rename cmp_tdc_N_101 "cmp_tdc.N_101") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_1)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) + (net (rename cmp_tdc_N_96 "cmp_tdc.N_96") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_3_3(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_lut6_2_o5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(6)") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_7)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + )) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(7)") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_7)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) )) - (net N_86 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(8)") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) )) - (net N_1718 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO_1)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) + (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + )) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) + (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + )) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_5)) + (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(9)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) (portRef I3 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(10)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_11)) (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(11)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_12)) + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_11)) (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) )) - (net N_202 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIV75T_8)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17)) - )) - (net N_204 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o6_2)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17)) - )) - (net N_3058 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17)) - )) - (net N_3057 (joined - (portRef O6 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2_17)) - )) - (net N_3109 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIFOB01_15)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17)) - )) - (net N_3106 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o6_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o5_13)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17)) - )) - (net N_3104 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIHEQ51_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) - )) - (net N_3102 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o6_13)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIVFM04_10)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_17)) - (portRef I4 (instanceRef nxt_state_decoder_isda_oen_4_iv_i)) - )) - (net N_3026 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o5_17)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_16)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_7)) - )) - (net N_3072 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIKTS91)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_RNIEA8F2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNIA1PP2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIBR5L2_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDR5L2_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFR5L2_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIHR5L2_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJR5L2_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILR5L2_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNINR5L2_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIPR5L2_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIRR5L2_8)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNITR5L2_9)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDIMS2_10)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFQMS2_11)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIH2NS2_12)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJANS2_13)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILINS2_14)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_381_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_381_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o6_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_1_1_0)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_355 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_355") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) )) - (net N_3094 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI0CAD_o6_17)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_348 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_348") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14)) )) - (net N_3080 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2_17)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_430 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_430") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10)) )) - (net N_80 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_357 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_357") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_o2_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_0)) )) - (net N_3060 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4_17)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_352 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_352") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o6_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_0)) )) - (net N_3059 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3_17)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_86 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_86") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_1)) )) - (net N_3055 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_92 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_92") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o6_5)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) + )) + (net (rename cmp_tdc_N_940 "cmp_tdc.N_940") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNI1ULL1_o6)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) + (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_11_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_168)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_2_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_ovd_2_0") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o5)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_11_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_11(0)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o5_11)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_167)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(0)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_11_3(4)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_169)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(1)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_2)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(2)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_2)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_10)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_23)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(12)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(13)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_13)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_plsZ0Z_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(14)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_14)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_42)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(9)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_10)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(10)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_10)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_16)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(11)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_13)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_34)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(6)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_6)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(7)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_8)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_13_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_13_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(8)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_8)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_26)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_13_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_13(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(3)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_4)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_13_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_13_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(4)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_14_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_pls(5)") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_pls_lut6_2_o5_6)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_18)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) )) - (net N_87 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_6)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_14_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) )) - (net N_83 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_5)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_7)) )) - (net N_75 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o5_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_6)) )) - (net N_71 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_5_i_lut6_2_o6_0)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_128)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16(0)") (joined (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_113)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_16_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_15_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_15_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_15(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_135)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_15_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_112)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o5_6)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o6_0)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_111)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o5_7)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_113)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_16_1_lut6_2_o5_16)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_17_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_17_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_17_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_17(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_17_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_17_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_121)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_20_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o6_2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o6_0)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_20_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_19_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_19_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_19_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_19(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_74)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_19_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_19_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_66)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_4(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_59)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_235)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_60)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_21_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_21_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_229)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_5(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_3_1_lut6_2_o5_29)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_4Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_4(3)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_4_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_22_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_22_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4Z0Z_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_4(4)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4_4)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_79)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_230)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_22_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_22_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_0(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_4(3)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNI51EA1_o5_5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_27_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_219)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_26_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_42)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_23_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_23_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_72)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_50)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_25_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_23_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_23_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_57)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_24_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_56)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_4Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_4(3)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_4_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_210)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_24_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_24_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_lut6_2_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_23_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o6_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_63)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_24_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_24_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_65)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_22_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_202)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_4Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_4(3)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_4_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_21_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_20_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_25_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_25_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_56)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_55)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_18_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_18_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_98)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_25_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_25_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_57)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_17_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_17(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_106)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o6_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_192)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_17_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_17_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_191)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_0(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_234)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_193)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_17_1_lut6_2_o5_15)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_26_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_26_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_26_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_26_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_9_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_184)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_183)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_17_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_17(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_9_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o6_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_185)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_34)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_27_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_27_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_lut6_2_o6_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_27_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_27_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_lut6_2_o5_4)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_10_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_10_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_176)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_55)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_10_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_10(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_175)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_10_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_10_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_177)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_28_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_28_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_61)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_28_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_28_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_21_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.1.0.matrix_new_21(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o5)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.3.0.matrix_new_13(3)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_3)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25Z0Z_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.0.matrix_new_25(6)") (joined + (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_25_6)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_6)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_248)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_82)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_247)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_10)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_4(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_lut6_2_o6_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_245)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_5(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_244)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_246)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_30_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_14)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_6_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_6(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_259)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1(0)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_258)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_4_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_4(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_256)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_122)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_5_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_5(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_ack_row_5_lut6_2_o5_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_255)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_3_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_3(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_lut6_2_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_257)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_5(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_14_1_lut6_2_o5_18)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_115)) + (net (rename cmp_tdc_tdc_core_N_4423 "cmp_tdc.tdc_core.N_4423") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1028_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1027_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1024_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1023_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1022_m1)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_116)) + (net (rename cmp_tdc_tdc_core_N_30_0 "cmp_tdc.tdc_core.N_30_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNI7QOD7_9)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_7_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_176)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_84 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_84") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNIGH5I1_o5_7)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_175)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_81 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_81") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_3Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_7_3(3)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_3_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_177)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_78 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_78") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_171)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_60 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_60") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_172)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_68 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_68") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_65 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_65") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_54 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_54") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_62 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_62") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) + (net (rename gnum_interface_block_cmp_dma_controller_N_133 "gnum_interface_block.cmp_dma_controller.N_133") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0_sqmuxa_0_a2_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_254)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_130)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_result_2_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_253)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIFRHJ_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_252)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_212)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_4_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_4(4)") (joined + (portRef O (instanceRef cmp_dma_eic_rddata_reg_RNICVIB1_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_251)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_250)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_2_4_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_2_4(2)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_lut6_2_o5_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKNVG_o5_15)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_2_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_2_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_15_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_15(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNISBDM_o5_15)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_2_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_2_3(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_lut6_2_o5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_13_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_13(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_13_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_13_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4ITD_o5_14)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_142)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIVVDM_o5_14)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_12_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_lut6_2_o5_1)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_lut6_2_o6_2)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_169)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNID7VG_o5_13)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_8_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_8_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o5_8)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_168)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_13_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_13(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNITJDM_o5_13)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_149)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_15_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_15(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_15_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIE7VG_o5_12)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_14_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_14_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNI9EBJ_o5_12)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_156)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBVG_o5_11)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_15_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_15(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_117)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_11_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_11(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIPVCM_o5_11)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_163)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIDJVG_o5_10)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_174)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_10_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_10(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_RNIAOFR_o5_10)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_173)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_171)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_6(2)") (joined + (portRef O (instanceRef cmp_carrier_csr_ack_sreg_RNI2KJ7_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_172)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_13_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_13(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_9)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_182)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_181)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_138)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_179)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_180)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_167)) + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNICBCN_o5_8)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_190)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8(0)") (joined (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_189)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_187)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_188)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_174)) + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIKH9K_o5_7)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_198)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_173)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_197)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_187)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_195)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_188)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_196)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_3_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_5_3(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_lut6_2_o5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_193)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNILD9K_o5_6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_5_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_192)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_191)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_5(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4FBN_o5_5)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_11_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_11(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_11_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_4)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_225)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_10_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_10(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_10_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_10_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_154)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_11_1_lut6_2_o5_21)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_146)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_11_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_11(0)") (joined + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI5JBN_o5_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_243)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2(0)") (joined (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_242)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_1_1_lut6_2_o5_31)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_240)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_10_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_10(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_lut6_2_o5_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_241)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_22_1_lut6_2_o5_10)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_190)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_7(1)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_14)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_12_1_lut6_2_o5_20)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_189)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI6MTD_o5_29)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_5(3)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17)) + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6B3H1_o5_29)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_6(2)") (joined (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_18)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_1)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_5(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIOJVG_o5_28)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_30)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_6(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_5(3)") (joined (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_6(2)") (joined (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_lut6_2_o6_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQVVG_o5_27)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_28_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_37)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_19_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_19(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_5(3)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIPC5K1_o5_27)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_19_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_7)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIQ30H_o5_26)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_31_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_6)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_lut6_2_o5_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_29_5_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_29_5(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_lut6_2_o5_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_44)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_90)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIJ7VG_o5_25)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_19_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_19(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o6_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_4)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_51)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_21_1_lut6_2_o5_11)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_3)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_23_1_lut6_2_o5_9)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIMVVG_o5_24)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_1_lut6_2_o5_3)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_61)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_7(1)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_26_1_lut6_2_o5_6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_59)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_26)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_60)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_84 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_84") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIG7VG_o5_23)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_82 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_82") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_0_5)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_81 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_81") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_4)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_5(3)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNIH44K1_o5_23)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_79 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_79") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_2)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_78 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_78") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_1)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNITLTD_o5_22)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_77 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_77") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_4_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_60 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_60") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_5(3)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI6F2H1_o5_22)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_68 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_68") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_7)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_7)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_58 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_58") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_5)) - (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_5)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI3MTD_o5_21)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_86)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_66 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_66") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_5)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7mux_RNO_5)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_65 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_65") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_1_4)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_5(3)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI4B2H1_o5_21)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_63 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_63") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_dat_o_RNO_0_2)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_54 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_54") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNI4MTD_o5_20)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_62 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_62") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_1)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_93)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_53 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_53") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_1_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_5(3)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI072H1_o5_20)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_N_61 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.N_61") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_2_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o_9_7_0)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) )) - (net (rename gnum_interface_block_cmp_dma_controller_N_133 "gnum_interface_block.cmp_dma_controller.N_133") (joined - (portRef O5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_1_sqmuxa_1_0_a2_0_lut6_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_1_sqmuxa_0_a2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_1_sqmuxa_0_a2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_1_sqmuxa_0_a2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_1_sqmuxa_0_a2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_1_sqmuxa_0_a2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_1_sqmuxa_0_a2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_0_sqmuxa_0_a2)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNINJVG_o5_19)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_19_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_19(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_5(3)") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNI7B3H1_o5_19)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_100)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNIP30H_o5_18)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_107)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_7_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_7(1)") (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNII7VG_o5_17)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_17_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_17(0)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_lut6_2_o5_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_117)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_5(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_115)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_6(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_lut6_2_o6_2)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_116)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2511 "gnum_interface_block.cmp_dma_controller.N_2511") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_o_RNIQN641_o6_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_6)) )) + (net (rename gnum_interface_block_cmp_dma_controller_N_2501 "gnum_interface_block.cmp_dma_controller.N_2501") (joined + (portRef O (instanceRef gnum_interface_block_dma_ctrl_done_lut6_2_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_4)) + )) (net (rename gnum_interface_block_cmp_dma_controller_N_2525 "gnum_interface_block.cmp_dma_controller.N_2525") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_RNI60PA1_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4)) )) + (net (rename gnum_interface_block_cmp_dma_controller_N_2508 "gnum_interface_block.cmp_dma_controller.N_2508") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) + )) (net (rename gnum_interface_block_cmp_dma_controller_N_2315 "gnum_interface_block.cmp_dma_controller.N_2315") (joined (portRef O (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o5)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_6)) @@ -92606,121 +90428,21 @@ (portRef O (instanceRef gnum_interface_block_dma_ctrl_done_lut6_2_o6)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_done_irq_e)) )) - (net (rename gnum_interface_block_cmp_dma_controller_N_2321 "gnum_interface_block.cmp_dma_controller.N_2321") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIGA462_o6_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_5)) - )) - (net (rename gnum_interface_block_cmp_dma_controller_N_2501 "gnum_interface_block.cmp_dma_controller.N_2501") (joined - (portRef O (instanceRef gnum_interface_block_dma_ctrl_done_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_4)) - )) - (net (rename gnum_interface_block_cmp_dma_controller_N_2508 "gnum_interface_block.cmp_dma_controller.N_2508") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0J132_2)) - (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) - )) (net (rename gnum_interface_block_dma_ctrl_error "gnum_interface_block.dma_ctrl_error") (joined (portRef O (instanceRef gnum_interface_block_dma_ctrl_error_lut6_2_o6)) (portRef I4 (instanceRef gnum_interface_block_dma_ctrl_done_lut6_2_o5)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_3)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_0_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_RNI60PA1_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_attrib_reg_RNI60PA1_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_31_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_30_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_29_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_29_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_28_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_27_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_26_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_25_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_24_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_23_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_22_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_21_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_20_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_19_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_18_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_18_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_17_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_17_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_16_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_15_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_14_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_14_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_13_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_13_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_12_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_11_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o6_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_10_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_10_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_9_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) + (net (rename gnum_interface_block_cmp_dma_controller_N_2321 "gnum_interface_block.cmp_dma_controller.N_2321") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIGA462_o6_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_5)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_8_2_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_8_2(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o5_4)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_31_5_reto(3)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_1)) @@ -92740,913 +90462,1129 @@ )) (net (rename cmp_sdb_crossbar_crossbar_matrix_old_reto_2 "cmp_sdb_crossbar.crossbar.matrix_old_reto(2)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_5)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_31_5_reto(1)") (joined + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31_reto(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_6)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_0_31)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_1_31)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_31_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_31_reto(0)") (joined + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_6_reto(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_7)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_0_31)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_1_31)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_reto(1)") (joined + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_31_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_31_3_reto(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o6_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o5_4)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_1_31)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_old_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_9)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_RNI83NC1_0)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_3_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_3_1)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_10)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_30_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30)) - )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_30_5_reto(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_14)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_0_30)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_30_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_30_reto(0)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_0_30)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_1_30)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_5_reto(3)") (joined + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_16)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_1_30)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_30_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_30_3_reto(4)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_1_30)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_5_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_18)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_29_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_29_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_29_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_0_29)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_29_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_0_29)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_23)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_1_29)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_5_reto(3)") (joined + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_6_reto(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_1_29)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_29_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_29_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_1_29)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_5_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_26)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_28_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_30)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_28_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_0_28)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_28_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_28_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_0_28)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_1_28)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_28_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_28_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_1_28)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_28_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_28_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_1_28)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_37)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_27_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_34)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_27_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_0_27)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_27_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_27_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_0_27)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_1_27)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_27_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_27_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_1_27)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_27_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_27_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_1_27)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_44)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_26_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_26_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_26_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_42)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_0_26)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_26_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_26_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_0_26)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_1_26)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_26_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_26_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_1_26)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_26_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_26_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_1_26)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_51)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_25_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_25_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_25_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_0_25)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_25_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_25_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_50)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_0_25)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_55)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_1_25)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_25_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_25_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_56)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_1_25)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_25_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_25_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_57)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_1_25)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_59)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_60)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_61)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_24_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_55)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_24_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_56)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_0_24)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_24_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_24_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_57)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_0_24)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_63)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_1_24)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_24_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_24_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_1_24)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_24_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_24_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_65)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_1_24)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_59)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_60)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_61)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_23_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_23_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_63)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_0_23)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_23_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_23_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_0_23)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_1_23)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_23_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_23_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_72)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_1_23)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_23_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_23_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_1_23)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_66)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2_22)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2_22)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2_22)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_22_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2_22)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_22_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_22_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_0_22)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_22_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_22_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_0_22)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_79)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_1_22)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_22_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_22_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_1_22)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_22_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_22_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_1_22)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_74)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_21_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_86)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_21_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_21_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_0_21)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_21_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_21_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_0_21)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_1_21)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_21_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_1_21)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_21_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_21_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_1_21)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_2_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_82)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_93)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_20_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_20_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_0_20)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_20_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_20_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_0_20)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_1_20)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_20_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_1_20)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_20_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_20_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_1_20)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o5_1)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_100)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_19_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_19_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_19_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_19_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_90)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_19_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_0_19)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_19_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_19_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_0_19)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_1_19)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_19_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_19_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_1_19)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_19_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_19_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_1_19)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_107)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_18_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_18_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_18_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_18_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_98)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_0_18)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_18_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_18_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_0_18)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_111)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_1_18)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_112)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_1_18)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_18_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_18_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_113)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_1_18)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_115)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_116)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_17_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_17_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_117)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_17_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_17_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_17_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_17_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_0_17)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_17_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_17_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_106)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_0_17)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_1_17)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_17_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_17_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_1_17)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_17_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_17_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_121)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_1_17)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_16_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_16_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_111)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_16_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_112)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_0_16)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_16_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_16_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_113)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_0_16)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_1_16)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_128)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_1_16)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_16_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_16_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_1_16)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_115)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_116)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_15_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_15_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_117)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_15_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_15_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_0_15)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_15_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_15_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_0_15)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_135)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_1_15)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_15_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_1_15)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_15_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_15_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_1_15)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_122)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_14_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_14_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_142)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_14_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_14_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_0_14)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_14_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_14_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_0_14)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_1_14)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_14_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_1_14)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_14_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_14_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_1_14)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_130)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_13_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_13_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_149)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_13_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_13_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_13_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_13_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_0_13)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_13_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_13_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_0_13)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_1_13)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_13_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_13_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_1_13)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_13_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_13_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_1_13)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_156)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_138)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_12_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_12_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_12_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_0_12)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_12_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_12_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_0_12)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_1_12)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_1_12)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_12_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_12_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_1_12)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_163)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_11_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_11_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_11_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_11_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_146)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_11_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_0_11)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_11_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_11_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_0_11)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_167)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_1_11)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_11_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_168)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_1_11)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_11_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_11_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_169)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_1_11)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o5_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_171)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_172)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_10_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_10_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_173)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_10_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_10_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_174)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_10_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_10_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_154)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_0_10)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_10_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_10_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_0_10)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_175)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_1_10)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_10_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_10_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_176)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_1_10)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_10_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_10_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_177)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_1_10)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_179)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2_9)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_180)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2_9)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_181)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2_9)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_9_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_182)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2_9)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_9_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_9_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_0_9)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_9_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_162)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_0_9)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_183)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_1_9)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_9_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_184)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_1_9)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_9_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_9_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_185)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_1_9)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_2_reto(6)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o6_6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_187)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2_8)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_188)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2_8)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_189)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2_8)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_8_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_167)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_190)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2_8)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_8_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_8_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_168)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_0_8)) - )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_8_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_169)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_0_8)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_191)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_1_8)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_192)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_1_8)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_8_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_8_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_193)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_1_8)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_2_reto(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o6_6)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o5_6)) (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_171)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_195)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_172)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_196)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_173)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_197)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_7_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_174)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_198)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_175)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_1_7)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_7_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_176)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_1_7)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_4_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_4_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_7_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_7_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_177)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_1_7)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_7_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_7_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_7_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_7_reto(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_202)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_2_reto(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o6_6)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o5_6)) (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_179)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_180)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_reto(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) + )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_6_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_181)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_182)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_183)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_1_6)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_4_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_4_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_184)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_1_6)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_6_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_6_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_210)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_6_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_6_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_185)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_1_6)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_6_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_6_reto(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_2_reto(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o5_0)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o5_6)) (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_187)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_188)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_189)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_5_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_5_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_190)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_191)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_1_5)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_5_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_192)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_1_5)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_4_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_4_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_5_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_5_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_193)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_1_5)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_5_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_5_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_219)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_5_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_5_reto(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_2_reto(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o5_6)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o5_6)) (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_195)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_196)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_reto(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) + )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_4_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_4_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_197)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_225)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_198)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_1_4)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_4_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_4_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_1_4)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_4_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_4_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_4_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_4_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_1_4)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_4_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_4_reto(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_229)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_2_reto(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o5_6)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o5_0)) (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_203)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) - )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_3_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_1_3)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_3_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_3_7_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_234)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_1_3)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_235)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_3_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_3_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_1_3)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_4_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_4_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_2_reto_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_2_reto(6)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_3_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_3_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_3_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_3_reto(0)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_240)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_212)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_241)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_242)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_2_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_2_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_243)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_2_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_2_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_5_reto_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_5_reto(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_244)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_3_2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_2_4_reto_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_2_4_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_4_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_4_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_245)) (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_2_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_2_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_246)) (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_2_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_2_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_247)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_2_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_2_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_248)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_3_2)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_250)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_4_reto_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_4_reto(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_221)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_251)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) - )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_252)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_1_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_1_6_reto(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_253)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) + )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_254)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o6_1)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_4_reto_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_4_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_225)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_2_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_5_reto_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_5_reto(2)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_255)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_3_1)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_2_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_4_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_4_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_256)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_1_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_1_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_2_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_3_reto_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_3_reto(4)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_257)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_1_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_1_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_2_1)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_258)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_1_6_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_1_6_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_259)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_3_1)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_5_reto_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_5_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_230)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_261)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_0)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_4_reto_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_4_reto(4)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_262)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_7_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_0)) - )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_6_reto_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_6_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_263)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_0)) )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_0_7_reto_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.7.dat_matrix_0_7_reto(1)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_264)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_0)) + )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_reto_0 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_0_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_234)) + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_265)) (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o6_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_0_3_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_0_3_reto(3)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_235)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_2_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_retZ0Z_266 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_266") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_266)) + (portRef I0 (instanceRef cmp_tdc_m76_s_0_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_0_5_reto_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_0_5_reto(1)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_2_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_retZ0Z_267 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_267") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_267)) + (portRef I1 (instanceRef cmp_tdc_m76_s_0_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_0_4_reto_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.5.dat_matrix_0_4_reto(2)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) - (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_2_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_retZ0Z_268 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_268") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_268)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_RNI83NC1_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_0_reto_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.dat_matrix_0_reto(0)") (joined - (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_2_0)) + (net (rename gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_retZ0Z_269 "gnum_interface_block.cmp_wbmaster32.from_wb_fifo_din_ret_269") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_269)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_RNI83NC1_0)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_0_4_i_reto_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_0_4_i_reto(3)") (joined + (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_270)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_0 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(0)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_RNI83NC1_0)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_1 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(1)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_1)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_3_1)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_2 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(2)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_3_2)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_3 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(3)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) @@ -93670,134 +91608,134 @@ )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_8 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(8)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_9 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(9)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_10 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(10)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_11 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(11)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_11_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_12 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(12)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_12_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_13 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(13)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_14 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(14)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_15 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(15)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_15_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_16 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(16)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_16_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_17 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(17)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_18 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(18)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_19 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(19)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_19_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_20 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(20)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_20_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_21 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(21)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_22 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(22)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_23 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(23)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_23_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_24 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(24)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_24_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_25 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(25)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_26 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(26)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_27 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(27)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_27_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_28 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(28)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_28_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_29 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(29)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o5_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_30 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(30)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_30_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_31 "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(31)") (joined (portRef Q (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) - (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_5_dat_matrix_31_2_lut6_2_o6_4)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) )) (net (rename cmp_sdb_crossbar_crossbar_matrix_old_reto_1 "cmp_sdb_crossbar.crossbar.matrix_old_reto(1)") (joined (portRef Q (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o5_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o6_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o5_6)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o6_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o5_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o6_6)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o5_0)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o6_0)) (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o5_1)) (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o6_1)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) - (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_2_6)) + (portRef I1 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_0 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(0)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) @@ -93809,175 +91747,171 @@ )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_2 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(2)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o5_1)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_3 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(3)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o5_6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o5_0)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_4 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(4)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_4)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o5_6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_5 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(5)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_5)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o5_0)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_6 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(6)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_6)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_6_2_lut6_2_o6_6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_7 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(7)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_7)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_7_2_lut6_2_o6_6)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_8 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(8)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_8_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_9 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(9)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_9_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_10 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(10)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_11 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(11)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_12 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(12)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_13 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(13)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_14 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(14)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_15 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(15)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_16 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(16)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_17 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(17)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_18 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(18)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o5_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_19 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(19)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_2_lut6_2_o5_1)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_20 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(20)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_20_2_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_21 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(21)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_21_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_22 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(22)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_22_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_23 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(23)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_23_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_24 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(24)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_24_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_25 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(25)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_25_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_26 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(26)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_26_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_27 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(27)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_27_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_28 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(28)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_28_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_29 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(29)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_29_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_30 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(30)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_30_2_lut6_2_o6_6)) )) (net (rename cmp_sdb_crossbar_rom_slave_o_dat_0_dregZ0Z_31 "cmp_sdb_crossbar.rom.slave_o.dat_0_dreg(31)") (joined (portRef Q (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) - (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_2_lut6_2_o6_6)) )) - (net N_2866 (joined - (portRef O (instanceRef pll_sdi_o_obuf_RNO_0)) - (portRef I0 (instanceRef pll_sdi_o_obuf_RNO)) + (net N_2557 (joined + (portRef O (instanceRef pll_sdi_o_obuf_RNO_7)) + (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_3)) )) - (net N_44_1 (joined - (portRef O (instanceRef pll_sdi_o_obuf_RNO_8)) - (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_4)) + (net N_2554 (joined + (portRef O (instanceRef pll_sdi_o_obuf_RNO_5)) + (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_2)) )) - (net N_3657 (joined + (net N_2550 (joined (portRef O (instanceRef pll_sdi_o_obuf_RNO_6)) - (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_3)) - )) - (net N_3653 (joined - (portRef O (instanceRef pll_sdi_o_obuf_RNO_7)) - (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_4)) + (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_3)) )) - (net N_3662 (joined - (portRef O (instanceRef pll_sdi_o_obuf_RNO_1)) - (portRef I1 (instanceRef pll_sdi_o_obuf_RNO)) + (net N_2559 (joined + (portRef O (instanceRef pll_sdi_o_obuf_RNO_0)) + (portRef I0 (instanceRef pll_sdi_o_obuf_RNO)) )) - (net N_3650 (joined - (portRef O (instanceRef pll_sdi_o_obuf_RNO_5)) - (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_3)) + (net N_2547 (joined + (portRef O (instanceRef pll_sdi_o_obuf_RNO_4)) + (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_2)) )) (net (rename cmp_tdc_clks_rsts_mgment_config_st_reto_3 "cmp_tdc_clks_rsts_mgment.config_st_reto(3)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret)) - (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_0)) + (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_4)) + (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_6)) (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_5)) (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_7)) - (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_6)) - (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_8)) + (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_1)) )) (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_i_reto_1 "cmp_tdc_clks_rsts_mgment.pll_bit_index_i_reto(1)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1)) - (portRef I0 (instanceRef pll_sdi_o_obuf_RNO_2)) + (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_1)) )) (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_i_reto_2 "cmp_tdc_clks_rsts_mgment.pll_bit_index_i_reto(2)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_2)) - (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_2)) + (portRef I2 (instanceRef pll_sdi_o_obuf_RNO)) )) (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_reto_0 "cmp_tdc_clks_rsts_mgment.pll_bit_index_reto(0)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_3)) - (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_0)) + (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_4)) + (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_6)) (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_5)) (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_7)) - (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_6)) - (portRef I1 (instanceRef pll_sdi_o_obuf_RNO_8)) + (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_1)) )) (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_reto_1 "cmp_tdc_clks_rsts_mgment.pll_bit_index_reto(1)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_4)) - (portRef S (instanceRef pll_sdi_o_obuf_RNO_1)) + (portRef S (instanceRef pll_sdi_o_obuf_RNO_0)) )) (net (rename cmp_tdc_clks_rsts_mgment_pll_sdi_o_retZ0Z_5 "cmp_tdc_clks_rsts_mgment.pll_sdi_o_ret_5") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_5)) @@ -93985,7 +91919,7 @@ )) (net (rename cmp_tdc_clks_rsts_mgment_config_st_reto_4 "cmp_tdc_clks_rsts_mgment.config_st_reto(4)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_6)) - (portRef I2 (instanceRef pll_sdi_o_obuf_RNO)) + (portRef I1 (instanceRef pll_sdi_o_obuf_RNO)) )) (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_reto_3 "cmp_tdc_clks_rsts_mgment.pll_bit_index_reto(3)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_7)) @@ -93993,430 +91927,450 @@ )) (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_reto_2 "cmp_tdc_clks_rsts_mgment.pll_bit_index_reto(2)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_8)) - (portRef S (instanceRef pll_sdi_o_obuf_RNO_4)) (portRef S (instanceRef pll_sdi_o_obuf_RNO_3)) + (portRef S (instanceRef pll_sdi_o_obuf_RNO_2)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_0 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(0)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_0)) - (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_5)) + (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_4)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_1 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(1)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_1)) - (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_5)) + (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_4)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_2 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(2)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_2)) - (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_7)) + (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_6)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_3 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(3)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_3)) - (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_7)) + (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_6)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_4 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(4)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_4)) - (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_6)) + (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_5)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_5 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(5)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_5)) - (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_6)) + (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_5)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_6 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(6)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_6)) - (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_8)) + (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_7)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_7 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(7)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_7)) - (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_8)) + (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_7)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_8 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(8)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_8)) - (portRef I2 (instanceRef pll_sdi_o_obuf_RNO_0)) + (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_1)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_9 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(9)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_9)) - (portRef I3 (instanceRef pll_sdi_o_obuf_RNO_0)) + (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_1)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_11 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(11)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_11)) - (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_5)) + (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_4)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_12 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(12)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_12)) - (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_7)) + (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_6)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_13 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(13)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_13)) - (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_7)) + (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_6)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_14 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(14)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_14)) - (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_6)) + (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_5)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_15 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(15)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_15)) - (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_6)) + (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_5)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_16 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(16)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_16)) - (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_8)) + (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_7)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_17 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(17)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_17)) - (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_8)) + (portRef I5 (instanceRef pll_sdi_o_obuf_RNO_7)) )) (net (rename cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dregZ0Z_10 "cmp_tdc_clks_rsts_mgment.un6_pll_word_being_sent_0_dreg(10)") (joined (portRef Q (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_10)) - (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_5)) + (portRef I4 (instanceRef pll_sdi_o_obuf_RNO_4)) )) - (net N_6271 (joined + (net N_4984 (joined (portRef DOA_0 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) )) - (net N_6272 (joined + (net N_4985 (joined (portRef DOA_1 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_1)) )) - (net N_6273 (joined + (net N_4986 (joined (portRef DOA_2 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) )) - (net N_6274 (joined + (net N_4987 (joined (portRef DOA_3 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) )) - (net N_6275 (joined + (net N_4988 (joined (portRef DOA_4 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_4)) )) - (net N_6276 (joined + (net N_4989 (joined (portRef DOA_5 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_5)) )) - (net N_6277 (joined + (net N_4990 (joined (portRef DOA_6 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_6)) )) - (net N_6278 (joined + (net N_4991 (joined (portRef DOA_7 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_7)) )) - (net N_6279 (joined + (net N_4992 (joined (portRef DOA_8 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) )) - (net N_6280 (joined + (net N_4993 (joined (portRef DOA_9 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) )) - (net N_6281 (joined + (net N_4994 (joined (portRef DOA_10 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) )) - (net N_6282 (joined + (net N_4995 (joined (portRef DOA_11 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) )) - (net N_6283 (joined + (net N_4996 (joined (portRef DOA_12 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) )) - (net N_6284 (joined + (net N_4997 (joined (portRef DOA_13 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) )) - (net N_6285 (joined + (net N_4998 (joined (portRef DOA_14 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) )) - (net N_6286 (joined + (net N_4999 (joined (portRef DOA_15 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) )) - (net N_6287 (joined + (net N_5000 (joined (portRef DOA_16 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) )) - (net N_6288 (joined + (net N_5001 (joined (portRef DOA_17 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) )) - (net N_6289 (joined + (net N_5002 (joined (portRef DOA_18 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) )) - (net N_6290 (joined + (net N_5003 (joined (portRef DOA_19 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) )) - (net N_6291 (joined + (net N_5004 (joined (portRef DOA_20 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) )) - (net N_6292 (joined + (net N_5005 (joined (portRef DOA_21 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) )) - (net N_6293 (joined + (net N_5006 (joined (portRef DOA_22 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) )) - (net N_6294 (joined + (net N_5007 (joined (portRef DOA_23 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) )) - (net N_6295 (joined + (net N_5008 (joined (portRef DOA_24 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) )) - (net N_6296 (joined + (net N_5009 (joined (portRef DOA_25 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) )) - (net N_6297 (joined + (net N_5010 (joined (portRef DOA_26 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) )) - (net N_6298 (joined + (net N_5011 (joined (portRef DOA_27 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) )) - (net N_6299 (joined + (net N_5012 (joined (portRef DOA_28 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) )) - (net N_6300 (joined + (net N_5013 (joined (portRef DOA_29 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) )) - (net N_6301 (joined + (net N_5014 (joined (portRef DOA_30 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) )) - (net N_6302 (joined + (net N_5015 (joined (portRef DOA_31 (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) )) - (net N_6310 (joined + (net N_5023 (joined (portRef DOA_0 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_0)) )) - (net N_6311 (joined + (net N_5024 (joined (portRef DOA_1 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_1)) )) - (net N_6312 (joined + (net N_5025 (joined (portRef DOA_2 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_2)) )) - (net N_6313 (joined + (net N_5026 (joined (portRef DOA_3 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_3)) )) - (net N_6314 (joined + (net N_5027 (joined (portRef DOA_4 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_4)) )) - (net N_6315 (joined + (net N_5028 (joined (portRef DOA_5 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_5)) )) - (net N_6316 (joined + (net N_5029 (joined (portRef DOA_6 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_6)) )) - (net N_6317 (joined + (net N_5030 (joined (portRef DOA_7 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_7)) )) - (net N_6318 (joined + (net N_5031 (joined (portRef DOA_8 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_8)) )) - (net N_6319 (joined + (net N_5032 (joined (portRef DOA_9 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_9)) )) - (net N_6320 (joined + (net N_5033 (joined (portRef DOA_10 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_10)) )) - (net N_6321 (joined + (net N_5034 (joined (portRef DOA_11 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_11)) )) - (net N_6322 (joined + (net N_5035 (joined (portRef DOA_12 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_12)) )) - (net N_6323 (joined + (net N_5036 (joined (portRef DOA_13 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_13)) )) - (net N_6324 (joined + (net N_5037 (joined (portRef DOA_14 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_14)) )) - (net N_6325 (joined + (net N_5038 (joined (portRef DOA_15 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_15)) )) - (net N_6326 (joined + (net N_5039 (joined (portRef DOA_16 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_16)) )) - (net N_6327 (joined + (net N_5040 (joined (portRef DOA_17 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_17)) )) - (net N_6328 (joined + (net N_5041 (joined (portRef DOA_18 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_18)) )) - (net N_6329 (joined + (net N_5042 (joined (portRef DOA_19 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_19)) )) - (net N_6330 (joined + (net N_5043 (joined (portRef DOA_20 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_20)) )) - (net N_6331 (joined + (net N_5044 (joined (portRef DOA_21 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_21)) )) - (net N_6332 (joined + (net N_5045 (joined (portRef DOA_22 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_22)) )) - (net N_6333 (joined + (net N_5046 (joined (portRef DOA_23 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_23)) )) - (net N_6334 (joined + (net N_5047 (joined (portRef DOA_24 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_24)) )) - (net N_6335 (joined + (net N_5048 (joined (portRef DOA_25 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_25)) )) - (net N_6336 (joined + (net N_5049 (joined (portRef DOA_26 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_26)) )) - (net N_6337 (joined + (net N_5050 (joined (portRef DOA_27 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_27)) )) - (net N_6338 (joined + (net N_5051 (joined (portRef DOA_28 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_28)) )) - (net N_6339 (joined + (net N_5052 (joined (portRef DOA_29 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_29)) )) - (net N_6340 (joined + (net N_5053 (joined (portRef DOA_30 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) )) - (net N_6341 (joined + (net N_5054 (joined (portRef DOA_31 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_0)) (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) )) - (net N_6349 (joined + (net N_5062 (joined (portRef DOADO_0 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_0)) )) - (net N_6350 (joined + (net N_5063 (joined (portRef DOADO_1 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_1)) )) - (net N_6351 (joined + (net N_5064 (joined (portRef DOADO_2 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_2)) )) - (net N_6352 (joined + (net N_5065 (joined (portRef DOADO_3 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_3)) )) - (net N_6353 (joined + (net N_5066 (joined (portRef DOADO_4 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_4)) )) - (net N_6354 (joined + (net N_5067 (joined (portRef DOADO_5 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_5)) )) - (net N_6355 (joined + (net N_5068 (joined (portRef DOADO_6 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_6)) )) - (net N_6356 (joined + (net N_5069 (joined (portRef DOADO_7 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_7)) )) - (net N_6357 (joined + (net N_5070 (joined (portRef DOADO_8 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_8)) )) - (net N_6358 (joined + (net N_5071 (joined (portRef DOADO_9 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_9)) )) - (net N_6359 (joined + (net N_5072 (joined (portRef DOADO_10 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_10)) )) - (net N_6360 (joined + (net N_5073 (joined (portRef DOADO_11 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_11)) )) - (net N_6361 (joined + (net N_5074 (joined (portRef DOADO_12 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_12)) )) - (net N_6362 (joined + (net N_5075 (joined (portRef DOADO_13 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_13)) )) - (net N_6363 (joined + (net N_5076 (joined (portRef DOADO_14 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_14)) )) - (net N_6364 (joined + (net N_5077 (joined (portRef DOADO_15 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_15)) )) - (net N_6365 (joined + (net N_5078 (joined (portRef DOPADOP_0 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_16)) )) - (net N_6366 (joined + (net N_5079 (joined (portRef DOPADOP_1 (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_un6_pll_word_being_sent_0_dreg_17)) )) - (net m64_s_2 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI6QK21_o5_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e_RNO)) - (portRef I3 (instanceRef nxt_state_decoder_isda_oen_4_iv_i)) + (net (rename cmp_tdc_m59_s_0 "cmp_tdc.m59_s_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI81CH1_o5_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) )) - (net m63_s (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNIA5RK1_o5_13)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1_17)) + (net (rename cmp_tdc_m18_s "cmp_tdc.m18_s") (joined + (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_RNI5J171_o6)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_2)) )) - (net m13_s_0 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIT66C1_o6_4)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (net (rename cmp_tdc_m8_s_0 "cmp_tdc.m8_s_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_9_1_lut6_2_o5_23)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_0)) )) - (net m15_s_0 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIORL71_o6_1)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) - (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) + (net m85_s_0 (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_30_lut6_2_o5_2)) + (portRef I3 (instanceRef cmp_dma_eic_eic_idr_write_int_e)) + )) + (net m88_s_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNIHTVD2_o6)) + (portRef I4 (instanceRef cmp_dma_eic_eic_isr_write_int_e)) + )) + (net m87_s_0 (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNIJO0B1_o6_21)) + (portRef I4 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) )) - (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0Z0Z_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_0)) + (net m35 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI60D91_1)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o5_5)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + )) + (net m39 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o5_5)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e)) + )) + (net (rename cmp_tdc_m13_s_0 "cmp_tdc.m13_s_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_i_d0_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_irq_pending_RNO_1)) + )) + (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o6_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m2_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m0(1)") (joined @@ -94572,31 +92526,31 @@ (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_4 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_5 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_6 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_6)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_6)) )) - (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4Z0Z_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_7)) + (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_7 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(7)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_7)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_8 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_7)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_9 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_9)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_10 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_10)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_9)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_11 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(11)") (joined @@ -94604,15 +92558,15 @@ (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_12 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_12)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_13 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_13)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_12)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_14 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_13)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_15 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(15)") (joined @@ -94624,27 +92578,27 @@ (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_17 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_17)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_11)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_18 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_17)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_18)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_19 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_18)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_20 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_20)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_21 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_20)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_22 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_6)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_23 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(23)") (joined @@ -94664,23 +92618,23 @@ (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_27 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_27)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_3)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_28 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_27)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_28)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_29 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_29)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_28)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_30 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_29)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o6_30)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_31 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m4(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_0)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_30)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5Z0Z_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.rddata_reg_20_m5(0)") (joined @@ -94811,8 +92765,8 @@ (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m5_31)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_31)) )) - (net N_7679 (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) + (net N_6455 (joined + (portRef O6 (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI393I1_4)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_31)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_30)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_29)) @@ -94833,8 +92787,9 @@ (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_1)) (portRef R (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_0)) )) - (net N_7719 (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o6)) + (net N_6495 (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o5)) + (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_30)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_29)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_28)) @@ -94850,6 +92805,7 @@ (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_18)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_17)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_16)) + (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_14)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_13)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_12)) @@ -94859,8 +92815,8 @@ (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_8)) (portRef R (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_5)) )) - (net N_7767 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNI7VLE1_o6_8)) + (net N_6547 (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI2KK81_o5_1)) (portRef R (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_31)) (portRef R (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_30)) (portRef R (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_29)) @@ -94888,7 +92844,7 @@ (portRef R (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5)) )) (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un5_rst_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un5_rst_i_lut6_2_o6)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o5)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_4)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_5)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_6)) @@ -94898,30 +92854,28 @@ (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2)) (portRef R (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_3)) )) - (net N_7843 (joined + (net N_6623 (joined (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNILTAU_o5_13)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_8)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_9)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_10)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_11)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_12)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_13)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_14)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_15)) )) - (net N_7851 (joined + (net N_6629 (joined (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_0)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_1)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_2)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_3)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_4)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_5)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_6)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_7)) )) - (net N_7884 (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o5_0)) + (net N_6661 (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_dince_lut6_2_o6_0)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_0)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_1)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_2)) @@ -94955,95 +92909,95 @@ (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_30)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_din_31)) )) - (net N_7948 (joined + (net N_6725 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_1)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_2)) )) - (net N_7950 (joined + (net N_6727 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_2)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_3)) )) - (net N_7952 (joined + (net N_6729 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_3)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_4)) )) - (net N_7954 (joined + (net N_6731 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_4)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_5)) )) - (net N_7956 (joined + (net N_6733 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_5)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_6)) )) - (net N_7958 (joined + (net N_6735 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_6)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_7)) )) - (net N_7961 (joined + (net N_6738 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8_RNO)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8)) )) - (net N_7962 (joined + (net N_6739 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_8)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_9)) )) - (net N_7964 (joined + (net N_6741 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_9)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_10)) )) - (net N_7966 (joined + (net N_6743 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_10)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_11)) )) - (net N_7968 (joined + (net N_6745 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_11)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_12)) )) - (net N_7970 (joined + (net N_6747 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_12)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_13)) )) - (net N_7972 (joined + (net N_6749 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_13)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_14)) )) - (net N_7974 (joined + (net N_6751 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_14)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_15)) )) - (net N_7976 (joined + (net N_6753 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_15)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_16)) )) - (net N_7978 (joined + (net N_6755 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_16)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_17)) )) - (net N_7980 (joined + (net N_6757 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_17)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_18)) )) - (net N_7982 (joined + (net N_6759 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_18)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_19)) )) - (net N_7984 (joined + (net N_6761 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_19)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_20)) )) - (net N_7986 (joined + (net N_6763 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_20)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_21)) )) - (net N_7988 (joined + (net N_6765 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_21)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_22)) )) - (net N_7990 (joined + (net N_6767 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_22)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_23)) )) - (net N_7992 (joined + (net N_6769 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_o5_23)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_24)) )) @@ -95057,7 +93011,7 @@ (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_s_1)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_1)) )) - (net N_8133 (joined + (net N_6910 (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_1_RNO)) (portRef DI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_1)) )) @@ -95132,7 +93086,7 @@ (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_axb_8 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_axb_8") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIJ7CR_7)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIE33P_7)) (portRef LI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8)) )) @@ -95307,6 +93261,7 @@ (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_axb_0)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_0)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cryZ0Z_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.un1_acam_start_nb_a_4_cry_0") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_acam_start_nb_a_4_cry_0)) @@ -95770,111 +93725,6 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_cry_30)) (portRef CI (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_decr_counting_counter_3_s_31)) )) - (net N_8144 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0)) - )) - (net N_8145 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) - )) - (net N_8146 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) - )) - (net N_8147 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) - )) - (net N_8148 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_4)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_4)) - )) - (net N_8149 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_5)) - )) - (net N_8150 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_6)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_6)) - )) - (net N_8151 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_7)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_7)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_0") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_0)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_0)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_0)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cryZ0Z_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_0") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_0)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_1)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_1)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_1)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_1)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_1)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cryZ0Z_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_1") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_1)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_2)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_2)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_2)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_2)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_2)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cryZ0Z_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_2") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_2)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_3)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_3)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_3)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_3)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_3)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cryZ0Z_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_3") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_3)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_4)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_4)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_4") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_4)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_4)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_4)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cryZ0Z_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_4") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_4)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_5)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_5)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_5") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_5)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_5)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_5)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cryZ0Z_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_5") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_5)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_6)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_6)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_6") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_6)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_6)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_6)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cryZ0Z_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_cry_6") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_cry_6)) - (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_7)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axbZ0Z_7 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_2_sqmuxa_axb_7") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_axb_7)) - (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_2_sqmuxa_s_7)) - )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_cryZ0Z_0 "cmp_tdc.tdc_core.data_formatting_block.un9_un_current_retrig_from_roll_over_cry_0") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_cry_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1)) @@ -95991,6 +93841,7 @@ )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axbZ0Z_0 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_axb_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_8)) (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cry_0)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_cryZ0Z_0 "cmp_tdc.tdc_core.data_formatting_block.un1_un_previous_roll_over_nb_cry_0") (joined @@ -96161,6 +94012,111 @@ (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_17)) (portRef LI (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_s_17)) )) + (net N_6927 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0)) + )) + (net N_6928 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) + )) + (net N_6929 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) + )) + (net N_6930 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + )) + (net N_6931 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_4)) + )) + (net N_6932 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_5)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_5)) + )) + (net N_6933 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_6)) + )) + (net N_6934 (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_7)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_7)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_0)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_0)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_0)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cryZ0Z_0 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_0)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_1)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_1)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_1)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_1)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_1)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cryZ0Z_1 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_1") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_1)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_2)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_2)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_2)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_2)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_2)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cryZ0Z_2 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_2") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_2)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_3)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_3)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_3)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_3)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_3)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cryZ0Z_3 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_3") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_3)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_4)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_4)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_4") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_4)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_4)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_4)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cryZ0Z_4 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_4") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_4)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_5)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_5)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_5") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_5)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_5)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_5)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cryZ0Z_5 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_5") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_5)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_6)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_6)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_6") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_6)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_6)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_6)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cryZ0Z_6 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_cry_6") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_cry_6)) + (portRef CI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_7)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axbZ0Z_7 "cmp_tdc.tdc_core.data_engine_block.un1_config_adr_c_1_axb_7") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_axb_7)) + (portRef LI (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_config_adr_c_1_s_7)) + )) (net (rename cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cryZ0Z_2 "cmp_tdc.tdc_core.acam_timing_block.un1_total_delay_cry_2") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_cry_2)) (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_un1_total_delay_s_3)) @@ -96737,355 +94693,47 @@ (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_axb_31)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_un1_total_delay_s_31)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_0") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_1") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_2") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_3") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_4") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_5") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_6") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_7") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_8") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_9") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_10") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_11") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_12") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_13") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_14") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_15") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_16") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_17") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_18") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_19") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_20") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_21") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_22") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_23") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_24") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_25") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_26") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_27") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_28") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_29") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_30 "gnum_interface_block.cmp_p2l_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_30") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_0") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_0)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_1") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_2") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_3") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_4") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_5") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_6") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_7") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_8") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_9") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_10") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_11") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_12") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_13") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_14") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_15") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_16") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_17") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_18") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_19") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_20") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_21") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_22") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_23") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_24") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_25") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_26") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_27") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_28") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_29") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cryZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.p_wb_master.un2_wb_ack_cnt_a_4_cry_30") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_cry_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_wb_master_un2_wb_ack_cnt_a_4_s_31)) - )) - (net N_8174 (joined + (net N_6945 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_1)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_1)) )) - (net N_8175 (joined + (net N_6946 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_2)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_2)) )) - (net N_8176 (joined + (net N_6947 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_3)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_3)) )) - (net N_8177 (joined + (net N_6948 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_4)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_4)) )) - (net N_8178 (joined + (net N_6949 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_5)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_5)) )) - (net N_8179 (joined + (net N_6950 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_6)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_6)) )) - (net N_8180 (joined + (net N_6951 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_7)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_7)) )) - (net N_8181 (joined + (net N_6952 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_8)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_8)) )) - (net N_8182 (joined + (net N_6953 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_9)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_9)) )) - (net N_8183 (joined + (net N_6954 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_10)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_counter_10)) )) - (net N_8184 (joined + (net N_6955 (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_11)) (portRef I1 (instanceRef gnum_interface_block_cmp_clk_in_state_3_sqmuxa_0_a4_lut6_2_o5)) )) @@ -97192,31 +94840,31 @@ (portRef O (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_axb_11)) (portRef LI (instanceRef gnum_interface_block_cmp_clk_in_un8_counter_1_s_11)) )) - (net N_8188 (joined + (net N_6959 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_1)) (portRef I3 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_1)) )) - (net N_8189 (joined + (net N_6960 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_2)) (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_2)) )) - (net N_8190 (joined + (net N_6961 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_3)) (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_3)) )) - (net N_8191 (joined + (net N_6962 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_4)) - (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) + (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) )) - (net N_8192 (joined + (net N_6963 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_5)) - (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) + (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) )) - (net N_8193 (joined + (net N_6964 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_6)) (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_6)) )) - (net N_8194 (joined + (net N_6965 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_7)) (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_7)) )) @@ -97283,7 +94931,7 @@ (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_axb_7)) (portRef LI (instanceRef cmp_tdc_clks_rsts_mgment_un1_rst_cnt_s_7)) )) - (net N_8199 (joined + (net N_6970 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNI6B2H_1)) (portRef DI (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_cry_5)) (portRef DI (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_cry_4)) @@ -97354,162 +95002,136 @@ (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_axb_6)) (portRef LI (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_6)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_1_sqmuxa_0_130_0_a2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_1_sqmuxa_0_130_0_a2_0") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNIUUIR1_o6_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_2)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_tz_tz_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0_tz_tz(2)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_i_a4_0_lut6_2_o5_1)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_2)) - )) - (net (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_2_i_s_1_tz_tz") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_tz_tz_lut6_2_o6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_1)) - )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12Z0Z_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d)) - )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_dZ0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_d") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_d)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5)) - )) - (net (rename cmp_tdc_tdc_core_m135_s_N_12 "cmp_tdc.tdc_core.m135_s_N_12") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_10)) - )) - (net (rename cmp_tdc_tdc_core_m115_N_11 "cmp_tdc.tdc_core.m115_N_11") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_9_RNI223A1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_17)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) - )) - (net (rename cmp_tdc_tdc_core_m115_N_12 "cmp_tdc.tdc_core.m115_N_12") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_17)) - )) - (net (rename cmp_tdc_tdc_core_m110_N_11 "cmp_tdc.tdc_core.m110_N_11") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_15_RNIS4311)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_23)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_66)) - )) - (net (rename cmp_tdc_tdc_core_m110_N_12 "cmp_tdc.tdc_core.m110_N_12") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_23)) - )) - (net (rename cmp_tdc_tdc_core_m100_s_N_12 "cmp_tdc.tdc_core.m100_s_N_12") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_24)) - )) - (net (rename cmp_tdc_tdc_core_m140_N_12 "cmp_tdc.tdc_core.m140_N_12") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_12)) - )) - (net (rename cmp_tdc_tdc_core_m130_N_11 "cmp_tdc.tdc_core.m130_N_11") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNI023A1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_3_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_18)) - )) - (net (rename cmp_tdc_tdc_core_m130_N_12 "cmp_tdc.tdc_core.m130_N_12") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_16)) - )) - (net (rename cmp_tdc_tdc_core_m125_N_12 "cmp_tdc.tdc_core.m125_N_12") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_14)) - )) - (net (rename cmp_tdc_tdc_core_m120_s_N_16 "cmp_tdc.tdc_core.m120_s_N_16") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_19)) - )) - (net N_1_10 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) - )) - (net N_2_2 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_32_a1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4)) - )) - (net N_1_11 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_din_i_m_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.din_i_m_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_2_lut6_2_o5_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_i)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) )) - (net N_2_3 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_33_a1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_6)) - )) - (net N_1671_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o6)) - (portRef I4 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un9_div_1_sqmuxa)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_cdr_o)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_bus_wen_pwr_sel)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_ren_ctl_sts_0_a2)) - (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_5_selected_2_0_0)) - (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_21_5)) - (portRef I5 (instanceRef cmp_carrier_csr_rddata_reg_4_sqmuxa_0_a2)) - (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_s_1_1)) - (portRef I5 (instanceRef cmp_carrier_csr_ack_in_progress_RNIUVU81)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ier_wr_o_e)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_idr_wr_o_e)) - (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_eoir_wr_o_e)) + (net N_6435_tz (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_RNO_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0)) )) (net (rename cmp_tdc_tdc_core_data_formatting_block_dacapo_counter "cmp_tdc.tdc_core.data_formatting_block.dacapo_counter") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6)) + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_s_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_data_formatting_block_dacapo_counter_cry_0)) )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_count "cmp_vic.U_Wrapped_VIC.timeout_count") (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o6)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_s_0)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_0)) - )) (net m116_s (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_0_o6_2)) + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o6_2)) (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1)) (portRef I2 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_1)) + (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) )) - (net (rename cmp_tdc_tdc_core_m31_s_2_5 "cmp_tdc.tdc_core.m31_s_2_5") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIRMT7_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_2_6 "cmp_tdc.tdc_core.m31_s_2_6") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_RNO)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over)) + (net m30_2 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o5)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e_RNO)) )) - (net (rename cmp_tdc_tdc_core_m31_s_2_8 "cmp_tdc.tdc_core.m31_s_2_8") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI77V7_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25)) + (net m30_3 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNIS0QI2_o6_5)) + (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_RNI8R6C6_6)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_irq_sts_e_RNO)) + (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) )) - (net (rename cmp_tdc_tdc_core_m31_s_2_9 "cmp_tdc.tdc_core.m31_s_2_9") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o6_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25)) + (net (rename cmp_tdc_tdc_core_m47_e_s_8 "cmp_tdc.tdc_core.m47_e_s_8") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o5_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) )) - (net (rename cmp_tdc_tdc_core_m4_2 "cmp_tdc.tdc_core.m4_2") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIF33L1_o5_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_m29)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + (net (rename cmp_tdc_tdc_core_m31_s_1_6 "cmp_tdc.tdc_core.m31_s_1_6") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2_RNO)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2)) )) - (net m49_0_1 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e_RNO)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_6 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_0(6)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_o2_0_lut6_2_o5_0)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_e)) - )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un2_pls_0") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un2_pls_0_lut6_2_o6)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_6)) + )) + (net (rename cmp_tdc_tdc_core_N_397 "cmp_tdc.tdc_core.N_397") (joined + (portRef O6 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNI3V1N3_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_0_a2_i_o2_RNIV7R78)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_0_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_RNIV44P9_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_0_a2_i_o2_RNIPGS68_0)) + )) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp_0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un3285_tmp_0") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_vector_or_vector_or_un2636_tmp_lut6_2_o5)) + (portRef I0 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp)) + )) + (net (rename cmp_tdc_tdc_core_m47_e_s_12 "cmp_tdc.tdc_core.m47_e_s_12") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup)) )) (net (rename cmp_tdc_clks_rsts_mgment_config_st_i_4 "cmp_tdc_clks_rsts_mgment.config_st_i(4)") (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_dac_sync_n_o_RNO)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_dac_sync_n_o)) )) + (net acm_we_i (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_o3_lut6_2_o5_1)) + (portRef T (instanceRef data_bus_io_iobuf_27)) + (portRef T (instanceRef data_bus_io_iobuf_26)) + (portRef T (instanceRef data_bus_io_iobuf_25)) + (portRef T (instanceRef data_bus_io_iobuf_24)) + (portRef T (instanceRef data_bus_io_iobuf_23)) + (portRef T (instanceRef data_bus_io_iobuf_22)) + (portRef T (instanceRef data_bus_io_iobuf_21)) + (portRef T (instanceRef data_bus_io_iobuf_20)) + (portRef T (instanceRef data_bus_io_iobuf_19)) + (portRef T (instanceRef data_bus_io_iobuf_18)) + (portRef T (instanceRef data_bus_io_iobuf_17)) + (portRef T (instanceRef data_bus_io_iobuf_16)) + (portRef T (instanceRef data_bus_io_iobuf_15)) + (portRef T (instanceRef data_bus_io_iobuf_14)) + (portRef T (instanceRef data_bus_io_iobuf_13)) + (portRef T (instanceRef data_bus_io_iobuf_12)) + (portRef T (instanceRef data_bus_io_iobuf_11)) + (portRef T (instanceRef data_bus_io_iobuf_10)) + (portRef T (instanceRef data_bus_io_iobuf_9)) + (portRef T (instanceRef data_bus_io_iobuf_8)) + (portRef T (instanceRef data_bus_io_iobuf_7)) + (portRef T (instanceRef data_bus_io_iobuf_6)) + (portRef T (instanceRef data_bus_io_iobuf_5)) + (portRef T (instanceRef data_bus_io_iobuf_4)) + (portRef T (instanceRef data_bus_io_iobuf_3)) + (portRef T (instanceRef data_bus_io_iobuf_2)) + (portRef T (instanceRef data_bus_io_iobuf_1)) + (portRef T (instanceRef data_bus_io_iobuf_0)) + )) (net (rename cmp_tdc_clks_rsts_mgment_pll_bit_index_i_1 "cmp_tdc_clks_rsts_mgment.pll_bit_index_i(1)") (joined (portRef LO (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1_RNO)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_sdi_o_ret_1)) @@ -97520,11 +95142,11 @@ )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_i_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_i(7)") (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNI84NB_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0_RNO)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI7KB21_6)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI9OB21_7)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII99T_8)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIKH9T_9)) + (portRef I1 (instanceRef m2_s_1_i_0_m2_cry_0_RNO)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI7KB21_8)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI9OB21_9)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNII99T_10)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNIKH9T_11)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFGVP_10)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIHKVP_11)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJOVP_12)) @@ -97543,31 +95165,32 @@ (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIT80Q_25)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIVC0Q_26)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI1H0Q_27)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_23)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_22)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_21)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_20)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_19)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_18)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_17)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_16)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_15)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_14)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_13)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_12)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_11)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_10)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_9)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_8)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_7)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_6)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_5)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_4)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_3)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_2)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_1)) - (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_23)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_22)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_21)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_20)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_19)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_18)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_17)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_16)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_15)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_14)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_13)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_12)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_11)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_10)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_9)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_8)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_7)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_6)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_5)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_4)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_3)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_2)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_1)) + (portRef DI (instanceRef m2_s_1_i_0_m2_cry_0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_i_4 "gnum_interface_block.cmp_p2l_dma_master.p2l_dma_current_state_i(4)") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNIDI1A_4)) @@ -97627,7 +95250,7 @@ (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNI214L_0)) )) (net (rename cmp_tdc_tdc_core_N_15_0_i "cmp_tdc.tdc_core.N_15_0_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNIHAC45_o6)) + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg_RNI2RKU9_o6)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_11)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_10)) (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNO_8)) @@ -97642,12 +95265,13 @@ )) (net rst_n_a_i_c_i (joined (portRef O (instanceRef rst_n_a_i_ibuf_RNIVM1A)) + (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_2)) (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_5)) - (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) (portRef PRE (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_1)) (portRef PRE (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_0)) (portRef CLR (instanceRef gnum_interface_block_rst_reg)) (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_7)) + (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_4)) (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_3)) (portRef R (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_1)) @@ -97657,27 +95281,24 @@ (portRef RST (instanceRef gnum_interface_block_cmp_clk_in_iserdes_m)) )) (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_mbusy_i_0 "cmp_sdb_crossbar.crossbar.matrix_logic.mbusy_i(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2)) - (portRef I4 (instanceRef cmp_sdb_crossbar_rom_slave_clk_slave_o_ack_1_0_lut6_2_o5)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_2_0_matrix_new_21_5)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_5_0_matrix_new_9_2)) (portRef CE (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_7)) + (portRef CE (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_6)) (portRef CE (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_4)) (portRef CE (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_3)) (portRef CE (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_1)) (portRef CE (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_0)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_iZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.cr_1_sqmuxa_i") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_5)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_6)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_gen_cr_cr_7_7)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_0)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_2)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_un1_prer10_2_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.un1_prer10_2_i") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIHVB13)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_3)) + (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_4)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_mbusy_i_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.mbusy_i(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3)) + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1)) + (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_6)) (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_5)) (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_4)) (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_3)) @@ -97685,8 +95306,8 @@ (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_1)) (portRef CE (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_0)) )) - (net N_1937_i (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o5_4)) + (net N_2041_i (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_28)) (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_25)) (portRef I4 (instanceRef cmp_vic_U_Wrapped_VIC_vic_var_RNO_21)) @@ -97734,6 +95355,12 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ldm_gnt)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_lw)) @@ -97743,6 +95370,8 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_lw)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_lw)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw)) + (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o)) + (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o)) @@ -97961,6 +95590,11 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_9)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_10)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_11)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_12)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_13)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_14)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_15)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_16)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_wb_we_t)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_1)) @@ -97970,8 +95604,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_to_wb_fifo_wr)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o)) - (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_error_irq)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_done_irq)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_2)) @@ -99238,12 +96870,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_done_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_req_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_2)) @@ -99280,16 +96906,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_dframe_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_adr_o_9)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_2)) @@ -99323,12 +96939,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_30)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_31)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_25)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_26)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_27)) @@ -99336,12 +96946,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_29)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_30)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_31)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_10)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_11)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_12)) @@ -99351,12 +96955,12 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_16)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_17)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_18)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_19)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_20)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_21)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_22)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_23)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_24)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_26)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_27)) @@ -99366,12 +96970,12 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_2)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_7)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_8)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_9)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_11)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_12)) @@ -99381,10 +96985,22 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_16)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) (portRef PRE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_7)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_6)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_5)) @@ -99393,7 +97009,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_2)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_sel_o_1_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_cyc_t)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_completion_error)) @@ -99798,63 +97413,9 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_30)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_address_h_31)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_is_next_item)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_9)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_10)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_11)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_12)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_13)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_14)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_15)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_16)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_17)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_18)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_20)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_21)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_24)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_25)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_26)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_27)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_28)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_29)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_30)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_dat_o_31)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_adr_o_1_9)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_to_wb_fifo_wr)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_28)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_9)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_14)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_15)) @@ -99864,6 +97425,12 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_19)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_20)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_2)) @@ -99871,6 +97438,12 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_4)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_5)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_6)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_8)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_9)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) (portRef PRE (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_4)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_3)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_2)) @@ -99878,7 +97451,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_dma_ctrl_done_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_rx_error_o)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_sel_o_1_0)) (portRef CLR (instanceRef gnum_interface_block_l2p_edb_o)) (portRef CLR (instanceRef gnum_interface_block_l2p_edb_t2)) (portRef CLR (instanceRef gnum_interface_block_l2p_edb_t)) @@ -99939,6 +97511,8 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_29)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_30)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_d_31)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_17)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_18)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_19)) @@ -99953,7 +97527,7 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_28)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_29)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_30)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_31)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_2)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_3)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_4)) @@ -99968,7 +97542,7 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_13)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_14)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_15)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_16)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_19)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_20)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_21)) @@ -99983,7 +97557,7 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_30)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_31)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_o_1)) + (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_4)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_5)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_6)) @@ -99998,7 +97572,7 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_15)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_16)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_17)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_18)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_21)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_22)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_23)) @@ -100013,7 +97587,7 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_data_t_3)) + (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_6)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_7)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_8)) @@ -100028,12 +97602,10 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_17)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_18)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_20)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_2)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_3)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_decode32_p2l_addr_5)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_valid_o)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_ser_dframe_t)) @@ -100109,6 +97681,35 @@ (portRef CLR (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_30)) (portRef CLR (instanceRef cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_31)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_0)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_270)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_269)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_268)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_267)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_266)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_265)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_264)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_263)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_262)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_261)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_259)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_258)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_257)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_256)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_255)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_254)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_253)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_252)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_251)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_250)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_248)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_247)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_246)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_245)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_244)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_243)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_242)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_241)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_240)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_238)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_237)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_236)) @@ -100117,7 +97718,7 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_233)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_232)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_231)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_230)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_229)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_228)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_227)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_226)) @@ -100125,23 +97726,23 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_224)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_223)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_222)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_221)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_220)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_219)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_218)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_217)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_216)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_215)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_214)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_213)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_212)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_211)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_210)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_209)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_208)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_207)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_206)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_205)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_204)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_203)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_202)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_201)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_200)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_199)) @@ -100176,42 +97777,43 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_166)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_165)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_164)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_162)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_163)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_161)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_160)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_159)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_158)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_157)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_156)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_155)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_154)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_153)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_152)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_151)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_150)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_149)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_148)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_147)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_146)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_145)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_144)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_143)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_142)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_141)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_140)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_139)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_138)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_137)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_136)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_135)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_134)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_133)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_132)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_131)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_130)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_129)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_128)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_127)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_126)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_125)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_124)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_123)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_122)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_121)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_120)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_119)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_118)) @@ -100224,42 +97826,43 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_110)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_109)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_108)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_106)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_107)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_105)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_104)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_103)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_102)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_101)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_100)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_99)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_98)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_97)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_96)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_95)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_94)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_93)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_92)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_91)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_90)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_89)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_88)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_87)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_86)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_85)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_84)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_83)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_82)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_81)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_80)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_79)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_78)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_77)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_76)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_75)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_74)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_73)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_72)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_71)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_70)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_69)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_68)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_67)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_66)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_65)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_64)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_63)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_62)) @@ -100272,42 +97875,43 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_54)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_53)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_52)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_50)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_51)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_49)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_48)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_47)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_46)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_45)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_44)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_43)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_42)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_41)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_40)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_39)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_38)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_37)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_36)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_35)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_34)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_33)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_32)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_31)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_30)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_29)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_28)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_27)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_26)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_25)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_24)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_23)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_22)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_21)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_20)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_18)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_17)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_16)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_15)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_14)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_13)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_12)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_11)) - (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_10)) + (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_9)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_8)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_7)) (portRef CLR (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_6)) @@ -100388,70 +97992,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_9)) (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_10)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_10)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_9)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_10)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_11)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_12)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_13)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_14)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_15)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_16)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_17)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_18)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_20)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_21)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_24)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_25)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_26)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_27)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_28)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_29)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_30)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_31)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_9)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_10)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_11)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_12)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_13)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_14)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_15)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_16)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_17)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_18)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_20)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_21)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_24)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_25)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_26)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_27)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_28)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_29)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_30)) - (portRef CLR (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_31)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_0)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_1)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_2)) @@ -100482,70 +98022,6 @@ (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_27)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_28)) (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_29)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_9)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_10)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_11)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_12)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_13)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_14)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_15)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_16)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_17)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_18)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_20)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_21)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_24)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_25)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_26)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_27)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_28)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_29)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_30)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_31)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_0)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_1)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_2)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_3)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_4)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_5)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_6)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_7)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_8)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_9)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_10)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_11)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_12)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_13)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_14)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_15)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_16)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_17)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_18)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_19)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_20)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_21)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_22)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_23)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_24)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_25)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_26)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_27)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_28)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_29)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_30)) - (portRef CLR (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_31)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_5)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_4)) (portRef CLR (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_3)) @@ -100594,6 +98070,26 @@ (portRef RST (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_0_loop3_iserdes_m)) (portRef RST (instanceRef gnum_interface_block_cmp_p2l_des_cmp_data_in_loop0_3_loop3_iserdes_m)) )) + (net (rename cmp_tdc_N_956_i "cmp_tdc.N_956_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNIBA9L_0)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_266)) + )) + (net (rename cmp_tdc_N_108_i "cmp_tdc.N_108_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block_RNIAKOS_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_267)) + )) + (net (rename cmp_tdc_N_974_i "cmp_tdc.N_974_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_268)) + )) + (net (rename cmp_tdc_N_976_i "cmp_tdc.N_976_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNII6IR_o6_11)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_269)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_6_dat_matrix_0_4_i_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.6.dat_matrix_0_4_i(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIIR3L_o6_3)) + (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_din_ret_270)) + )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_i "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.ack_in_progress_i") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_in_progress_RNI6Q07)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_stat_lw_delay)) @@ -100606,36 +98102,77 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_lw_delay)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_lw_delay)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_old_i_2 "cmp_sdb_crossbar.crossbar.matrix_old_i(2)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIG6R5_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_8_lut6_2_o5_0)) - (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_5_1_lut6_2_o5_27)) + (net (rename cmp_tdc_N_996_i "cmp_tdc.N_996_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI3PD71_o6_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_4)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_4)) + )) + (net (rename cmp_tdc_N_56_0_i "cmp_tdc.N_56_0_i") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_RNI5RBT2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_clk_en)) + (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_cry_cy_0)) + )) + (net (rename cmp_tdc_N_22_0_i "cmp_tdc.N_22_0_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_RNI0P5L3)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) + )) + (net N_32_0_i (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o5)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_3)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_4)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_5)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_6)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) + )) + (net (rename cmp_tdc_tdc_core_N_2819_i "cmp_tdc.tdc_core.N_2819_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p_RNO)) + (portRef D (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter") (joined (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25)) - (portRef CI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_0)) - (portRef CI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_0)) + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0)) + (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_0)) )) - (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0)) - (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_0)) - (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_0)) + (net (rename cmp_tdc_tdc_core_load_utc_i "cmp_tdc.tdc_core.load_utc_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIIR93_9)) + (portRef CI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_0)) + (portRef CI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_0)) )) (net (rename gnum_interface_block_dma_ctrl_start_p2l_i "gnum_interface_block.dma_ctrl_start_p2l_i") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNIFAA6)) (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_0)) (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_0)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_state_i "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_state_i") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet)) + (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o5_1)) + (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_0)) + (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_0_sqmuxa_i_1_i "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_0_sqmuxa_i_1_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_edb_o_RNI6KIF1_o6)) + (net N_1241_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o6_0)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_0)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_1)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_2)) @@ -100668,461 +98205,75 @@ (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_29)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_30)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_31)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) - (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_0)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_1)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_2)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_3)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_4)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_5)) + (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_6)) )) - (net (rename cmp_tdc_tdc_core_N_2363_i "cmp_tdc.tdc_core.N_2363_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2)) - (portRef I (instanceRef data_bus_io_iobuf_27)) - )) - (net (rename cmp_tdc_tdc_core_N_2374_i "cmp_tdc.tdc_core.N_2374_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2)) - (portRef I (instanceRef data_bus_io_iobuf_25)) - )) - (net (rename cmp_tdc_tdc_core_N_58_0_i "cmp_tdc.tdc_core.N_58_0_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11)) - (portRef I (instanceRef data_bus_io_iobuf_11)) - )) - (net (rename cmp_tdc_clks_rsts_mgment_rst_in_synch_i_1 "cmp_tdc_clks_rsts_mgment.rst_in_synch_i(1)") (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_RNIS1FA_1)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_0)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_1)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_2)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_3)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_4)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_5)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_6)) - (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un1_p2l_addr_cycle_i "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un1_p2l_addr_cycle_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_2_RNO)) - (portRef DI (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_2)) - )) - (net N_74_i (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt_RNO)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_arbiter_arb_pdm_gnt)) - )) - (net N_47_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVBBR_o6_5)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_11)) - )) - (net N_35_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_2_slave_logic_slave_matrix_or_31_result_29_i_o2_lut6_2_o5_3)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_9)) - )) - (net N_29_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6P7L_o6_5)) - (portRef D (instanceRef cmp_carrier_csr_carrier_csr_ctrl_reserved_int_5)) - )) - (net (rename cmp_carrier_csr_un1_ack_in_progress_i "cmp_carrier_csr.un1_ack_in_progress_i") (joined - (portRef O (instanceRef cmp_carrier_csr_ack_in_progress_RNIUVU81)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_0)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_1)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_2)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_3)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_4)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_5)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_6)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_7)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_8)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_9)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_10)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_11)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_12)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_13)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_14)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_15)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_16)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_17)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_18)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_19)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_20)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_21)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_22)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_23)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_24)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_25)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_26)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_27)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_28)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_29)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_30)) - (portRef CE (instanceRef cmp_carrier_csr_rddata_reg_31)) - )) - (net (rename cmp_tdc_tdc_core_N_37_0_i "cmp_tdc.tdc_core.N_37_0_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_11)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_11)) - )) - (net (rename cmp_tdc_tdc_core_N_193_i "cmp_tdc.tdc_core.N_193_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_20)) - )) - (net (rename cmp_tdc_tdc_core_N_2623_i "cmp_tdc.tdc_core.N_2623_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75_RNO)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_75)) - )) - (net (rename cmp_tdc_tdc_core_N_2612_i "cmp_tdc.tdc_core.N_2612_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) - (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67)) - )) - (net N_1661_i (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIK4ED1_o6_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_8_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_9_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_dac_word_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_inputs_en_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_one_hz_phase_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_start_phase_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_starting_utc_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_time_threshold_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_irq_tstamp_threshold_14)) + (net (rename cmp_tdc_tdc_core_N_2420_i "cmp_tdc.tdc_core.N_2420_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22)) + (portRef I (instanceRef data_bus_io_iobuf_22)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_6_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_6_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92_0)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_start01_ocst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_start01_o_0)) + (net (rename cmp_tdc_tdc_core_N_2462_i "cmp_tdc.tdc_core.N_2462_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18)) + (portRef I (instanceRef data_bus_io_iobuf_18)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_12_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_12_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNIDPL92)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo2_ocst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo2_o_0)) + (net (rename cmp_tdc_clks_rsts_mgment_rst_in_synch_i_1 "cmp_tdc_clks_rsts_mgment.rst_in_synch_i(1)") (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_rst_in_synch_RNIS1FA_1)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_0)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_1)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_2)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_3)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_4)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_5)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_6)) + (portRef CE (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_7)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_2_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_7_RNILKSU1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_ififo1_ocst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_ififo1_o_0)) + (net (rename gnum_interface_block_cmp_p2l_decode32_p_addr_decode_un1_p2l_addr_cycle_i "gnum_interface_block.cmp_p2l_decode32.p_addr_decode.un1_p2l_addr_cycle_i") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_2_RNO)) + (portRef DI (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_cry_2)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_9_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_9_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_10cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_10cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_10_0)) + (net (rename cmp_tdc_tdc_core_N_2435_i "cmp_tdc.tdc_core.N_2435_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_10_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_10_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_9cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_9cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_9_0)) + (net (rename cmp_tdc_tdc_core_N_2522_i "cmp_tdc.tdc_core.N_2522_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_16)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_11_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_11_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o25_lut6_2_o5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_8cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_8cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_8_0)) + (net (rename cmp_tdc_tdc_core_N_2507_i "cmp_tdc.tdc_core.N_2507_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_10)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_7cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_7cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_7_0)) + (net (rename cmp_tdc_tdc_core_N_2665_i "cmp_tdc.tdc_core.N_2665_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_28)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_28)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_5_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_5_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIUU7F1_o5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_6cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_6cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_6_0)) + (net (rename cmp_tdc_tdc_core_N_2451_i "cmp_tdc.tdc_core.N_2451_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_23)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_13_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_13_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_5cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_5cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_5_0)) + (net (rename cmp_tdc_tdc_core_N_2525_i "cmp_tdc.tdc_core.N_2525_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_19)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_19)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_7_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_7_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_0)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_4cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_4cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_4_0)) + (net (rename cmp_tdc_tdc_core_N_57_0_i "cmp_tdc.tdc_core.N_57_0_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_18)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_18)) + )) + (net (rename cmp_tdc_tdc_core_N_2878_i "cmp_tdc.tdc_core.N_2878_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67_RNO)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_67)) + )) + (net (rename cmp_tdc_tdc_core_N_2867_i "cmp_tdc.tdc_core.N_2867_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27_RNO)) + (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_retrig_nb_offset_i_0_I_27)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_4_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_4_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o21_1_RNINQ7F1_o6)) + (net (rename cmp_tdc_tdc_core_N_3744_i "cmp_tdc.tdc_core.N_3744_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNIJN5OB_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_3cst_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_3cst_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_27)) @@ -101154,179 +98305,176 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_3_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_1_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_1_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNICG422)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_2cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_2cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_2_0)) + (net (rename cmp_tdc_tdc_core_N_2896_i "cmp_tdc.tdc_core.N_2896_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_16)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_8_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_8_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_data_readback_decoder_un19_acam_cyc_RNIEOE42_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_1cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_1_0)) + (net (rename cmp_tdc_tdc_core_N_2856_i "cmp_tdc.tdc_core.N_2856_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_12)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_3_i "cmp_tdc.tdc_core.data_engine_block.un1_acam_config_rdbk_04_3_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_un1_acam_config_rdbk_04_2_1_RNIMJLP1_o5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_31)) - (portRef CE (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_config_rdbk_0cst_30)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_27)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_26)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_25)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_24)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_23)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_22)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_21)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_20)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_19)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_18)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_17)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_16)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_15)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_14)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_13)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_12)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_11)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_10)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_9)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_8)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_7)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_6)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_5)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_4)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_3)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_2)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_1)) - (portRef CE (instanceRef cmp_tdc_tdc_core_acam_config_rdbk_0_0)) + (net (rename cmp_tdc_tdc_core_N_2855_i "cmp_tdc.tdc_core.N_2855_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_10)) )) - (net (rename cmp_tdc_tdc_core_N_2601_i "cmp_tdc.tdc_core.N_2601_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_24)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_24)) + (net (rename cmp_tdc_tdc_core_N_2854_i "cmp_tdc.tdc_core.N_2854_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_9)) )) - (net (rename cmp_tdc_tdc_core_N_2659_i "cmp_tdc.tdc_core.N_2659_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_23)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_23)) + (net (rename cmp_tdc_tdc_core_N_2895_i "cmp_tdc.tdc_core.N_2895_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_8)) )) - (net (rename cmp_tdc_tdc_core_N_2658_i "cmp_tdc.tdc_core.N_2658_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_20)) + (net (rename cmp_tdc_tdc_core_N_2429_i "cmp_tdc.tdc_core.N_2429_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_RNO_23)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_local_utc_23)) )) - (net (rename cmp_tdc_tdc_core_N_2657_i "cmp_tdc.tdc_core.N_2657_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_19)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_19)) + (net (rename cmp_tdc_tdc_core_N_2881_i "cmp_tdc.tdc_core.N_2881_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_16)) )) - (net (rename cmp_tdc_tdc_core_N_2656_i "cmp_tdc.tdc_core.N_2656_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_17)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_17)) + (net (rename cmp_tdc_tdc_core_N_2890_i "cmp_tdc.tdc_core.N_2890_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_12)) )) - (net (rename cmp_tdc_tdc_core_N_2655_i "cmp_tdc.tdc_core.N_2655_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_16)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_16)) + (net (rename cmp_tdc_tdc_core_N_2887_i "cmp_tdc.tdc_core.N_2887_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_10)) )) - (net (rename cmp_tdc_tdc_core_N_2600_i "cmp_tdc.tdc_core.N_2600_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_14)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_14)) + (net (rename cmp_tdc_tdc_core_N_2884_i "cmp_tdc.tdc_core.N_2884_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_9)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_9)) )) - (net (rename cmp_tdc_tdc_core_N_2599_i "cmp_tdc.tdc_core.N_2599_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_12)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_12)) + (net (rename cmp_tdc_tdc_core_N_2893_i "cmp_tdc.tdc_core.N_2893_i") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_8)) + (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_8)) )) - (net (rename cmp_tdc_tdc_core_N_2654_i "cmp_tdc.tdc_core.N_2654_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNO_10)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_10)) + (net (rename cmp_tdc_tdc_core_N_2733_i "cmp_tdc.tdc_core.N_2733_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_31)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_30)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_29)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_28)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_27)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_26)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_25)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_24)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_23)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_22)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_21)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_20)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_19)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_18)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_17)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_16)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_15)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_14)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_13)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_12)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_11)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_10)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_9)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_8)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_7)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_6)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_5)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_4)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_3)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_2)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1)) + (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_0)) )) - (net (rename cmp_tdc_tdc_core_N_2631_i "cmp_tdc.tdc_core.N_2631_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_RNO_20)) - (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_from_roll_over_20)) + (net (rename cmp_tdc_tdc_core_N_2732_i "cmp_tdc.tdc_core.N_2732_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o_RNIVPA81_o6)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_status_o_oreg)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_status_o)) + )) + (net (rename cmp_tdc_tdc_core_N_245_i "cmp_tdc.tdc_core.N_245_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o5)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig4_o)) + )) + (net (rename cmp_tdc_tdc_core_N_247_i "cmp_tdc.tdc_core.N_247_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_N_247_i_lut6_2_o6)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig3_o)) + )) + (net (rename cmp_tdc_tdc_core_N_249_i "cmp_tdc.tdc_core.N_249_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o_RNO)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_led_trig2_o)) )) - (net (rename cmp_tdc_tdc_core_N_2470_i "cmp_tdc.tdc_core.N_2470_i") (joined + (net (rename cmp_tdc_tdc_core_N_2723_i "cmp_tdc.tdc_core.N_2723_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_23)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_23)) )) - (net (rename cmp_tdc_tdc_core_N_2475_i "cmp_tdc.tdc_core.N_2475_i") (joined + (net (rename cmp_tdc_tdc_core_N_2715_i "cmp_tdc.tdc_core.N_2715_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_17)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_17)) + )) + (net (rename cmp_tdc_tdc_core_N_2727_i "cmp_tdc.tdc_core.N_2727_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_18)) )) - (net (rename cmp_tdc_tdc_core_N_2474_i "cmp_tdc.tdc_core.N_2474_i") (joined + (net (rename cmp_tdc_tdc_core_N_2726_i "cmp_tdc.tdc_core.N_2726_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_19)) )) - (net (rename cmp_tdc_tdc_core_N_2473_i "cmp_tdc.tdc_core.N_2473_i") (joined + (net (rename cmp_tdc_tdc_core_N_2725_i "cmp_tdc.tdc_core.N_2725_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_20)) )) - (net (rename cmp_tdc_tdc_core_N_2472_i "cmp_tdc.tdc_core.N_2472_i") (joined + (net (rename cmp_tdc_tdc_core_N_2724_i "cmp_tdc.tdc_core.N_2724_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_21)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_21)) )) - (net (rename cmp_tdc_tdc_core_N_2458_i "cmp_tdc.tdc_core.N_2458_i") (joined + (net (rename cmp_tdc_tdc_core_N_2720_i "cmp_tdc.tdc_core.N_2720_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_10)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_10)) + )) + (net (rename cmp_tdc_tdc_core_N_2719_i "cmp_tdc.tdc_core.N_2719_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_11)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_11)) + )) + (net (rename cmp_tdc_tdc_core_N_2718_i "cmp_tdc.tdc_core.N_2718_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_12)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_12)) + )) + (net (rename cmp_tdc_tdc_core_N_2717_i "cmp_tdc.tdc_core.N_2717_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_13)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_13)) + )) + (net (rename cmp_tdc_tdc_core_N_2716_i "cmp_tdc.tdc_core.N_2716_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_15)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_15)) + )) + (net (rename cmp_tdc_tdc_core_N_2713_i "cmp_tdc.tdc_core.N_2713_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_1)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_1)) + )) + (net (rename cmp_tdc_tdc_core_N_2712_i "cmp_tdc.tdc_core.N_2712_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_2)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_2)) + )) + (net (rename cmp_tdc_tdc_core_N_2722_i "cmp_tdc.tdc_core.N_2722_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_3)) )) - (net (rename cmp_tdc_tdc_core_N_2457_i "cmp_tdc.tdc_core.N_2457_i") (joined + (net (rename cmp_tdc_tdc_core_N_2721_i "cmp_tdc.tdc_core.N_2721_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_4)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_4)) )) - (net (rename cmp_tdc_tdc_core_N_46_i_i "cmp_tdc.tdc_core.N_46_i_i") (joined + (net (rename cmp_tdc_tdc_core_N_2714_i "cmp_tdc.tdc_core.N_2714_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o5_16)) + (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0)) + )) + (net (rename cmp_tdc_N_998_i "cmp_tdc.N_998_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIGVGM1_o6_2)) + (portRef dinb_4 (instanceRef cmp_tdc_tdc_core_circular_buffer_block_memory_block)) + )) + (net (rename cmp_tdc_tdc_core_N_406_i_i "cmp_tdc.tdc_core.N_406_i_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_RNO_0)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_un1_tstamps_c_cry_0)) )) - (net (rename cmp_tdc_tdc_core_N_2590_i "cmp_tdc.tdc_core.N_2590_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9)) + (net (rename cmp_tdc_tdc_core_N_2844_i "cmp_tdc.tdc_core.N_2844_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8)) (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_29)) @@ -101360,7 +98508,7 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_0)) )) - (net (rename cmp_tdc_tdc_core_N_2702_i "cmp_tdc.tdc_core.N_2702_i") (joined + (net (rename cmp_tdc_tdc_core_N_2960_i "cmp_tdc.tdc_core.N_2960_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_30)) @@ -101395,20 +98543,24 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_0)) )) - (net (rename cmp_tdc_tdc_core_acam_data_block_N_86_i "cmp_tdc.tdc_core.acam_data_block.N_86_i") (joined + (net (rename cmp_tdc_tdc_core_N_2386_i "cmp_tdc.tdc_core.N_2386_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_RNO_6)) + (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_ACAM_data_st_6)) + )) + (net (rename cmp_tdc_tdc_core_N_4333_i "cmp_tdc.tdc_core.N_4333_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_wr_n_o)) )) - (net (rename cmp_tdc_tdc_core_acam_data_block_N_84_i "cmp_tdc.tdc_core.acam_data_block.N_84_i") (joined + (net (rename cmp_tdc_tdc_core_N_4323_i "cmp_tdc.tdc_core.N_4323_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_data_block_rd_n_o)) )) - (net (rename cmp_tdc_tdc_core_N_2782_i "cmp_tdc.tdc_core.N_2782_i") (joined + (net (rename cmp_tdc_tdc_core_N_3045_i "cmp_tdc.tdc_core.N_3045_i") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received)) )) - (net (rename cmp_tdc_tdc_core_N_2781_i "cmp_tdc.tdc_core.N_2781_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNISSRA_o6_10)) + (net (rename cmp_tdc_tdc_core_N_3044_i "cmp_tdc.tdc_core.N_3044_i") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o_RNIPSVD_o6)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_31)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_30)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_29)) @@ -101442,7 +98594,7 @@ (portRef R (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1)) (portRef R (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_0)) )) - (net (rename cmp_tdc_tdc_core_N_2775_i "cmp_tdc.tdc_core.N_2775_i") (joined + (net (rename cmp_tdc_tdc_core_N_3038_i "cmp_tdc.tdc_core.N_3038_i") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) (portRef CE (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_31)) (portRef CE (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_30)) @@ -101477,24 +98629,60 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1)) (portRef CE (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_0)) )) + (net (rename cmp_tdc_N_941_i "cmp_tdc.N_941_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress_RNO)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_ack_in_progress)) + )) + (net (rename cmp_tdc_N_955_i "cmp_tdc.N_955_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_2)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_2)) + )) + (net (rename cmp_tdc_N_953_i "cmp_tdc.N_953_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_1)) + )) + (net (rename cmp_tdc_N_951_i "cmp_tdc.N_951_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_rddata_reg_0)) + )) (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_un108_irq_pending_i "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.un108_irq_pending_i") (joined - (portRef O (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop1_irq_pending_14_lut6_2_o5_1)) + (portRef LO (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_wb_irq_o_RNO)) (portRef D (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_wb_irq_o)) )) - (net N_1712_i (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_7)) + (net (rename cmp_tdc_N_113_i "cmp_tdc.N_113_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_4)) )) - (net N_64_i (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_6)) + (net (rename cmp_tdc_N_110_i "cmp_tdc.N_110_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_3)) )) - (net N_1723_i (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) + (net (rename cmp_tdc_N_841_i "cmp_tdc.N_841_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_2)) + )) + (net (rename cmp_tdc_N_840_i "cmp_tdc.N_840_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_1)) + )) + (net (rename cmp_tdc_N_839_i "cmp_tdc.N_839_i") (joined + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_0)) + )) + (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_3_iZ0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.pls_0_N_3_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_N_3_i)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) )) - (net N_33_0_i (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_bus_ren_1_0_a2_RNI0DAR1)) + (net (rename cmp_tdc_N_107_i "cmp_tdc.N_107_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) + )) + (net (rename cmp_tdc_N_91_i "cmp_tdc.N_91_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) + (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) + )) + (net (rename cmp_tdc_N_23_0_i "cmp_tdc.N_23_0_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNII7UP1)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_0)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_2)) @@ -101504,194 +98692,309 @@ (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_6)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) )) - (net N_1660_i (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_o5)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) + (net (rename cmp_tdc_N_997_i "cmp_tdc.N_997_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISLEJ1_o6_6)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk8_owr_pwr_0)) + (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_4)) )) - (net N_21_0_i (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) - (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) + (net (rename cmp_tdc_N_16_0_i "cmp_tdc.N_16_0_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) + (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_cnt29_i "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_cnt29_i") (joined (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_0)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_un1_prer10_1_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.un1_prer10_1_i") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_0)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_1)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_2)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_3)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_4)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_5)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_6)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_7)) + (net (rename cmp_tdc_N_1003_i "cmp_tdc.N_1003_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag_RNO)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_irq_flag)) )) - (net N_14_i (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o5)) + (net (rename cmp_tdc_N_1006_i "cmp_tdc.N_1006_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIL7HM1_o6_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_14)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_6)) + )) + (net (rename cmp_tdc_N_1005_i "cmp_tdc.N_1005_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_4_slave_logic_slave_matrix_or_31_result_4_1_lut6_2_o5_28)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_5)) + )) + (net (rename cmp_tdc_N_1004_i "cmp_tdc.N_1004_i") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNIPLEJ1_o6_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_12)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_4)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_4)) + )) + (net (rename cmp_tdc_N_137_i "cmp_tdc.N_137_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_RNO_3)) (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_3)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prer_11)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_3)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_ctr_3)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_un1_prer10_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.un1_prer10_i") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_0)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_1)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_2)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_3)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_4)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_5)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_6)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_txr_7)) + (net (rename cmp_tdc_N_125_i "cmp_tdc.N_125_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_RNO_3)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_3)) )) - (net N_32_i (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNO)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift)) + (net (rename cmp_tdc_N_1000_i "cmp_tdc.N_1000_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNO)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_N_178_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.N_178_i") (joined (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_RNO_4)) (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.data_cnt.dcnt_4_iv_i(0)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o5_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_0)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_iZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.data_cnt.dcnt_4_iv_i(2)") (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_2)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.data_cnt.dcnt_4_iv_i(1)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_data_cnt_dcnt_4_iv_i_lut6_2_o6_1)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_dcnt_1)) - )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_un1_rst_2_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.un1_rst_2_i") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o6)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1_a2_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_1)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_3)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_5)) + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNI6K2V_o6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_1)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_3)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_4)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_5)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_6)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_sr_RNO_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_register_sr_4_7)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_4_sqmuxa_i") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_RNIK8TQ_0)) + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_RNIURJN2)) (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_0)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_1)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_2)) (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_3)) )) - (net N_3070_i (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_shift_RNIS7VQ_o5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSDA)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_304_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_304_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack_RNO)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_ack)) )) - (net N_3069_i (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_509_lut6_2_o5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_328_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_328_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_6)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_6)) )) - (net N_3073_i (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_RNIEA8F2)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_clk_en)) - (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_cry_cy_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_325_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_325_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_8)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_8)) )) - (net N_1824_i (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_17)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_17)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_323_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_323_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_9)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_9)) )) - (net N_3074_i (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNIA1PP2)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_0)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_1)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_2)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_3)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_4)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_5)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_6)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_7)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_8)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_9)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_10)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_11)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_12)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_13)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_14)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_15)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_321_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_321_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_10)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_10)) )) - (net N_1780_i (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_2_1_lut6_2_o5)) - (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_rep0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_318_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_318_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_12)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_12)) )) - (net N_1811_i (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o6_16)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_iscl_oen_rep0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_316_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_316_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_13)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_13)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_314_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_314_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_14)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_14)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_312_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_312_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_15)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_15)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_339_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_339_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_0)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_337_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_337_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_1)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_1)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_335_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_335_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_2)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_2)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_332_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_332_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_4)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_4)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_N_330_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.N_330_i") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_5)) + )) + (net (rename cmp_tdc_N_37_0_i "cmp_tdc.N_37_0_i") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0_RNI5ACU)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o5_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSDA_2_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o5_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_fSCL_3_lut6_2_o6_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_dSDA_2_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_scl_sda_sSCL_2_lut6_2_o5)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_i "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.nxt_state_decoder.isda_oen_4_iv_i") (joined - (portRef O (instanceRef nxt_state_decoder_isda_oen_4_iv_i)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_iZ0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.nxt_state_decoder.isda_oen_4_iv_i") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_i)) (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_rep0)) )) - (net N_62_i (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNI7GGG_o5_16)) - (portRef CE (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_rep0)) + (net N_126_i (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_35)) )) - (net N_124_i_i (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43_RNO)) - (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_43)) + (net N_142_i (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_27)) )) - (net N_41_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6CCR_o6_6)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_14)) + (net N_905_i (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_19)) )) - (net N_33_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_1_slave_logic_slave_matrix_or_31_result_30_1_lut6_2_o5_2)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_12)) + (net N_110_i (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_11)) )) - (net N_31_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI6ITD_o6_6)) - (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_genblk7_genblk1_cdr_n_8)) + (net N_899_i (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1_RNO)) + (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_pls_0_I_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_cnt29_i "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_cnt29_i") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_bus_wen_pwr_sel_1_lut6_2_o5)) - (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i)) + (net N_64_i (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_cyc_RNI89MB2_o6)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) )) - (net N_1886_i (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNO)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress)) + (net N_22_0_i (joined + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat_RNO)) + (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_dat)) )) - (net N_1935_i (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_un1_rst_n_i_2_i_a2_0_lut6_2_o5)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_un1_ack_in_progress_1_0_i "cmp_vic.U_Wrapped_VIC.U_wb_controller.un1_ack_in_progress_1_0_i") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_RNI0DHH1_o6_0)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_sreg_0)) + )) + (net N_2040_i (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_4)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_4)) )) - (net (rename cmp_vic_U_Wrapped_VIC_N_249_i "cmp_vic.U_Wrapped_VIC.N_249_i") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_state_RNO_0)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_state_0)) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_un1_ack_in_progress_i "cmp_vic.U_Wrapped_VIC.U_wb_controller.un1_ack_in_progress_i") (joined + (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_ack_in_progress_RNID85C1)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_0)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_1)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_2)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_3)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_4)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_5)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_6)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_7)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_8)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_9)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_10)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_11)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_12)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_13)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_14)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_15)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_16)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_17)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_18)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_19)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_20)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_21)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_22)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_23)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_24)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_25)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_26)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_27)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_28)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_29)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_30)) + (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_31)) )) - (net N_27_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o6_4)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_5)) - (portRef DIB_8 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (net N_134_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_18)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_18)) )) - (net N_39_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIS3BR_o6_4)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_9)) - (portRef DIB_12 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (net N_129_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_17)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_17)) )) - (net N_43_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIJQ9O_o5_4)) - (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_ctl_emu_len_int_11)) - (portRef DIB_14 (instanceRef cmp_vic_U_Wrapped_VIC_vector_table_1_vector_table_0_0)) + (net N_124_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_16)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_16)) + )) + (net N_119_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_15)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_15)) + )) + (net N_157_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_14)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_14)) + )) + (net N_152_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_13)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_13)) )) - (net N_1923_i (joined + (net N_147_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_12)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_12)) + )) + (net N_115_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_11)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_11)) + )) + (net N_110_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_10)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_10)) + )) + (net N_105_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_9)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_9)) + )) + (net N_101_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_8)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_8)) + )) + (net N_96_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_7)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_7)) + )) + (net N_91_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_6)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_6)) + )) + (net N_87_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_5)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_5)) + )) + (net N_2018_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_4)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_4)) + )) + (net N_2015_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_3)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_3)) + )) + (net N_240_0_i (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_2)) + (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_2)) + )) + (net N_964_i (joined (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_1)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_1)) )) - (net N_1920_i (joined + (net N_235_0_i (joined (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_RNO_0)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_rddata_reg_0)) )) (net (rename cmp_vic_U_Wrapped_VIC_swi_mask_1_sqmuxa_i "cmp_vic.U_Wrapped_VIC.swi_mask_1_sqmuxa_i") (joined - (portRef O (instanceRef swi_mask_1_sqmuxa_i_lut6_2_o6)) + (portRef O (instanceRef swi_mask_1_sqmuxa_i)) (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_1)) (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_swi_mask_0)) )) @@ -101699,26 +99002,14 @@ (portRef LO (instanceRef cmp_dma_eic_eic_irq_controller_inst_wb_irq_o_RNO)) (portRef D (instanceRef cmp_dma_eic_eic_irq_controller_inst_wb_irq_o)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_105_i "gnum_interface_block.cmp_p2l_dma_master.N_105_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1544_i "gnum_interface_block.cmp_p2l_dma_master.N_1544_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNO_0)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_0)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1538_i "gnum_interface_block.cmp_p2l_dma_master.N_1538_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_2_i "gnum_interface_block.cmp_p2l_dma_master.N_2_i") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_ns_0_a2_0_0_lut6_2_o5_0)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_28)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_29)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_8)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_9)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) - (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_13)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_14)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_15)) @@ -101728,6 +99019,12 @@ (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_19)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_20)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_21)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_22)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_23)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_24)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_25)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_26)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_27)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_0)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_1)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_2)) @@ -101735,9 +99032,15 @@ (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_4)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_5)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_6)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_7)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_8)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_9)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_10)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_11)) + (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_cnt_12)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_107_i "gnum_interface_block.cmp_p2l_dma_master.N_107_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNI7NON1_o6_0)) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1546_i "gnum_interface_block.cmp_p2l_dma_master.N_1546_i") (joined + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_dma_current_state_RNIPBAF1_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_1)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_2)) @@ -101754,7 +99057,11 @@ (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNO)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_243_i "gnum_interface_block.cmp_p2l_dma_master.N_243_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_state_i "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_state_i") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) + (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet)) + )) + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_291_i "gnum_interface_block.cmp_p2l_dma_master.N_291_i") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNIT99C1_o6)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_0)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_1)) @@ -101789,39 +99096,39 @@ (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_30)) (portRef CE (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_31)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1290_i "gnum_interface_block.cmp_p2l_dma_master.N_1290_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1336_i "gnum_interface_block.cmp_p2l_dma_master.N_1336_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_23)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_23)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1280_i "gnum_interface_block.cmp_p2l_dma_master.N_1280_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1326_i "gnum_interface_block.cmp_p2l_dma_master.N_1326_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_22)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_22)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1270_i "gnum_interface_block.cmp_p2l_dma_master.N_1270_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1316_i "gnum_interface_block.cmp_p2l_dma_master.N_1316_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_21)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_21)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1260_i "gnum_interface_block.cmp_p2l_dma_master.N_1260_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1306_i "gnum_interface_block.cmp_p2l_dma_master.N_1306_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_20)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_20)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_117_i "gnum_interface_block.cmp_p2l_dma_master.N_117_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1556_i "gnum_interface_block.cmp_p2l_dma_master.N_1556_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_19)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_19)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_116_i "gnum_interface_block.cmp_p2l_dma_master.N_116_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1555_i "gnum_interface_block.cmp_p2l_dma_master.N_1555_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_18)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_18)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1552_i "gnum_interface_block.cmp_p2l_dma_master.N_1552_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1554_i "gnum_interface_block.cmp_p2l_dma_master.N_1554_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_17)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_17)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_114_i "gnum_interface_block.cmp_p2l_dma_master.N_114_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1553_i "gnum_interface_block.cmp_p2l_dma_master.N_1553_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_16)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_16)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_108_i "gnum_interface_block.cmp_p2l_dma_master.N_108_i") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1547_i "gnum_interface_block.cmp_p2l_dma_master.N_1547_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_10)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_10)) )) @@ -101865,135 +99172,139 @@ (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_0)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_0)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_370_i "gnum_interface_block.cmp_l2p_dma_master.N_370_i") (joined + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_478_i "gnum_interface_block.cmp_l2p_dma_master.N_478_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_2)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_2)) )) - (net N_2215_i (joined + (net N_2282_i (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_5)) )) - (net N_2309_i (joined + (net N_127_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) + )) + (net N_1671_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) + )) + (net N_1670_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) + )) + (net N_1669_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) + )) + (net N_1668_i (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) + )) + (net N_1240_i (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) + )) + (net N_1239_i (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_3)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_3)) )) - (net N_2306_i (joined + (net N_1238_i (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_2)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_2)) )) - (net N_2216_i (joined + (net N_1237_i (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_1)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_1)) )) - (net N_2303_i (joined + (net N_1236_i (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_0)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_0)) )) - (net N_410_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o5)) + (net N_1693_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) + )) + (net N_1692_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) + )) + (net N_1691_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIG9P51_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) + )) + (net N_1690_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) + )) + (net N_1689_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o5)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) + )) + (net N_1688_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIA9P51_o6)) + (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) + )) + (net N_1687_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI89P51_o6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_18)) )) - (net N_408_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o5)) + (net N_1686_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o5)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_17)) )) - (net N_406_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o5)) + (net N_1685_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o5)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_16)) )) - (net N_404_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o5)) + (net N_1684_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNI29P51_o6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_15)) )) - (net N_402_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9_RNIT4OP_o6)) + (net N_1683_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIPFIS_0_o6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_14)) )) - (net N_400_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8_RNIR4OP_o6)) + (net N_1682_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o5)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_13)) )) - (net N_398_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o5)) + (net N_1681_i (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_12)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_12)) )) - (net N_396_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o5)) + (net N_1680_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNICMBJ_o6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_11)) )) - (net N_394_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5_RNIGHPG_o6)) + (net N_129_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_9_i_0_a5_lut6_2_o5)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_10)) )) - (net N_392_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4_RNIEHPG_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_9)) - )) - (net N_390_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_8)) - )) - (net N_388_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_7)) - )) - (net N_386_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1_RNI8HPG_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_6)) - )) - (net N_384_i (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_5)) - )) - (net N_2312_i (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNO_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_4)) - )) - (net N_2125_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o5)) + (net N_1698_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIG7921_o5_9)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_29)) )) - (net N_2124_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23_RNIBOM21_o6)) + (net N_1697_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNILC681_o5_10)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_28)) )) - (net N_2217_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2_RNIO4OP_o6)) + (net N_1696_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIMJIS_o6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_27)) )) - (net N_188_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o5)) + (net N_1695_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIKJIS_o6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_26)) )) - (net N_308_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o5)) + (net N_1694_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_last_packet_RNIHJIS_o6)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_25)) )) - (net N_420_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19_RNI212G1_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_24)) - )) - (net N_418_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNIV6N01_o5_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_23)) - )) - (net N_2123_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21_RNIBOM21_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_22)) - )) - (net N_416_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13_RNIBOM21_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_21)) - )) - (net N_414_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12_RNI9OM21_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_20)) - )) - (net N_412_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20_RNI7OM21_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_19)) + (net N_2332_i (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_valid_RNI7I9L1_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_l_RNI1UQF2_7)) )) - (net N_1992_i (joined + (net N_1153_i (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4_RNIE7VI1)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_0)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_1)) @@ -102057,7 +99368,7 @@ (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_29)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_i "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_16_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_o2_1_lut6_2_RNIHMPV1_6)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_RNIJ2812)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_0)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_1)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_2)) @@ -102091,30 +99402,26 @@ (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_30)) (portRef CE (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_31)) )) - (net N_419_i (joined + (net N_2316_i (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_25)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_25)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1079_i "gnum_interface_block.cmp_l2p_dma_master.N_1079_i") (joined + (net N_2317_i (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_19)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1059_i "gnum_interface_block.cmp_l2p_dma_master.N_1059_i") (joined + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1169_i "gnum_interface_block.cmp_l2p_dma_master.N_1169_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_18)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1039_i "gnum_interface_block.cmp_l2p_dma_master.N_1039_i") (joined + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1149_i "gnum_interface_block.cmp_l2p_dma_master.N_1149_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_17)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1019_i "gnum_interface_block.cmp_l2p_dma_master.N_1019_i") (joined + (net (rename gnum_interface_block_cmp_l2p_dma_master_N_1129_i "gnum_interface_block.cmp_l2p_dma_master.N_1129_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_16)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_16)) )) - (net N_679_i (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_5)) - )) (net (rename gnum_interface_block_cmp_dma_controller_N_220_i "gnum_interface_block.cmp_dma_controller.N_220_i") (joined (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_0)) @@ -102131,41 +99438,8 @@ (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_RNO_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_ack_sreg_0)) )) - (net N_45_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIA50J1_o6_7)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_14)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_14)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_14)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_14)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_14)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_14)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_14)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_14)) - )) - (net N_1649_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITNDM_o6_7)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_12)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_12)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_12)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_12)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_12)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_12)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_12)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_12)) - )) - (net N_25_i (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI8P7L_o6_7)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nextl_int_write_8)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_nexth_int_write_8)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_len_int_write_8)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstartl_int_write_8)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_hstarth_int_write_8)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_ctrl_int_write_8)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_cstart_int_write_8)) - (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_dma_attrib_int_write_8)) - )) (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_i "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_0)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_1)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_2)) @@ -102445,7 +99719,7 @@ (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2015_i "gnum_interface_block.cmp_dma_controller.N_2015_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1_0)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_2)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_3)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_4)) @@ -102579,47 +99853,47 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_172_i "gnum_interface_block.cmp_dma_controller.N_172_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o5_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o6_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_169_i "gnum_interface_block.cmp_dma_controller.N_169_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o5_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o5_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_166_i "gnum_interface_block.cmp_dma_controller.N_166_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o5_6)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o5_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_163_i "gnum_interface_block.cmp_dma_controller.N_163_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o5_5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o5_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_160_i "gnum_interface_block.cmp_dma_controller.N_160_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o5_3)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o5_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_157_i "gnum_interface_block.cmp_dma_controller.N_157_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o5_2)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o5_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_154_i "gnum_interface_block.cmp_dma_controller.N_154_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o5_1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o5_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2084_i "gnum_interface_block.cmp_dma_controller.N_2084_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o6_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o6_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_148_i "gnum_interface_block.cmp_dma_controller.N_148_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o5_0)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o6_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_145_i "gnum_interface_block.cmp_dma_controller.N_145_i") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_12)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o5_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2083_i "gnum_interface_block.cmp_dma_controller.N_2083_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o6_11)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o6_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2082_i "gnum_interface_block.cmp_dma_controller.N_2082_i") (joined @@ -102627,139 +99901,139 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2081_i "gnum_interface_block.cmp_dma_controller.N_2081_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMDRA1_o6_9)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKDRA1_o6_9)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2080_i "gnum_interface_block.cmp_dma_controller.N_2080_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNII5RA1_o6_8)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKTQA1_o6_8)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_130_i "gnum_interface_block.cmp_dma_controller.N_130_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o5_4)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o5_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2079_i "gnum_interface_block.cmp_dma_controller.N_2079_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIGDQA1_o6_6)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIEDQA1_o6_6)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2078_i "gnum_interface_block.cmp_dma_controller.N_2078_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIC5QA1_o6_5)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIA5QA1_o6_5)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2077_i "gnum_interface_block.cmp_dma_controller.N_2077_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIMTMM_o6_4)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6TPA1_o6_4)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_4)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2076_i "gnum_interface_block.cmp_dma_controller.N_2076_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI6LPA1_o6_3)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIKLMM_o6_3)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2075_i "gnum_interface_block.cmp_dma_controller.N_2075_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI2DPA1_o6_2)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI0DPA1_o6_2)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_2)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2074_i "gnum_interface_block.cmp_dma_controller.N_2074_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU4PA1_o6_1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIO4PA1_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_1)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2073_i "gnum_interface_block.cmp_dma_controller.N_2073_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIOSOA1_o6_0)) + (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_RNO_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_host_addr_h_o_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2016_i "gnum_interface_block.cmp_dma_controller.N_2016_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIP6KE1_o5_11)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT6KE1_o5_13)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_31)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2017_i "gnum_interface_block.cmp_dma_controller.N_2017_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_30)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2018_i "gnum_interface_block.cmp_dma_controller.N_2018_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_15)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_29)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2019_i "gnum_interface_block.cmp_dma_controller.N_2019_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_16)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_28)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2020_i "gnum_interface_block.cmp_dma_controller.N_2020_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_17)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_27)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2021_i "gnum_interface_block.cmp_dma_controller.N_2021_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o5_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_26)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2022_i "gnum_interface_block.cmp_dma_controller.N_2022_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o5_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_25)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2023_i "gnum_interface_block.cmp_dma_controller.N_2023_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_20)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o5_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_24)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2024_i "gnum_interface_block.cmp_dma_controller.N_2024_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o5_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIU2KE1_o5_14)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_23)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2025_i "gnum_interface_block.cmp_dma_controller.N_2025_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIT2KE1_o5_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIN2KE1_o5_11)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_22)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2026_i "gnum_interface_block.cmp_dma_controller.N_2026_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_21)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_21)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2027_i "gnum_interface_block.cmp_dma_controller.N_2027_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_20)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_20)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_20)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2028_i "gnum_interface_block.cmp_dma_controller.N_2028_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_19)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_19)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_19)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2029_i "gnum_interface_block.cmp_dma_controller.N_2029_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_18)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_18)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2030_i "gnum_interface_block.cmp_dma_controller.N_2030_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_17)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_17)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_17)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2031_i "gnum_interface_block.cmp_dma_controller.N_2031_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_16)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIEDAU_o6_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_16)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2032_i "gnum_interface_block.cmp_dma_controller.N_2032_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNIDDAU_o6_15)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI7HAU_o6_15)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_15)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2033_i "gnum_interface_block.cmp_dma_controller.N_2033_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_len_reg_RNI6HAU_o6_14)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIA3TF1_o6_31)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_14)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2034_i "gnum_interface_block.cmp_dma_controller.N_2034_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI7RSF1_o6_23)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIPDNR_o6_0)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_13)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2035_i "gnum_interface_block.cmp_dma_controller.N_2035_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIAJSF1_o6_18)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI87KE1_o6_28)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_12)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2036_i "gnum_interface_block.cmp_dma_controller.N_2036_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNINDNR_o6_0)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI1RSF1_o6_21)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_11)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2037_i "gnum_interface_block.cmp_dma_controller.N_2037_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNI67KE1_o6_28)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI4JSF1_o6_16)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_10)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2038_i "gnum_interface_block.cmp_dma_controller.N_2038_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI6LIN1_o6_15)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI88F31_o6_7)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_9)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2039_i "gnum_interface_block.cmp_dma_controller.N_2039_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIVGIN1_o6_12)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNIDPIN1_o6_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_8)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_69_i "gnum_interface_block.cmp_dma_controller.N_69_i") (joined @@ -102767,7 +100041,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_7)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_71_i "gnum_interface_block.cmp_dma_controller.N_71_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNINS9M1_o6_20)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIRS9M1_o6_22)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_6)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_73_i "gnum_interface_block.cmp_dma_controller.N_73_i") (joined @@ -102775,41 +100049,25 @@ (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_5)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2045_i "gnum_interface_block.cmp_dma_controller.N_2045_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nextl_reg_RNI2GE31_o6_7)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o6_1)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_3)) )) (net (rename gnum_interface_block_cmp_dma_controller_N_2043_i "gnum_interface_block.cmp_dma_controller.N_2043_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o6_1)) + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_nexth_reg_RNIVC9M1_o5_26)) (portRef D (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_2)) )) - (net (rename gnum_interface_block_cmp_dma_controller_un1_dma_ctrl_current_state_16_i "gnum_interface_block.cmp_dma_controller.un1_dma_ctrl_current_state_16_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO)) - (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o)) - )) - (net (rename gnum_interface_block_cmp_dma_controller_un1_dma_ctrl_current_state_15_i "gnum_interface_block.cmp_dma_controller.un1_dma_ctrl_current_state_15_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO)) - (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o)) - )) (net (rename gnum_interface_block_cmp_dma_controller_N_229_i "gnum_interface_block.cmp_dma_controller.N_229_i") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNIKK1E6_o5_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNILO1E6_o5_1)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_RNO_0)) (portRef CE (instanceRef gnum_interface_block_cmp_dma_controller_dma_status_2)) )) (net N_59_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0_a5_2_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6)) (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_1)) )) - (net N_61_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_1)) - )) - (net N_1650_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_0)) - )) (net (rename gnum_interface_block_cmp_wbmaster32_N_177_i "gnum_interface_block.cmp_wbmaster32.N_177_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_l2p_read_cpl_current_state_RNI44VJ_o6_0)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIT7Q61_o6_0)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_0)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_1)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_2)) @@ -102845,114 +100103,10 @@ (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_dframe_o)) )) (net (rename gnum_interface_block_cmp_wbmaster32_N_133_i "gnum_interface_block.cmp_wbmaster32.N_133_i") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o6)) + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_0_o6)) (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_req_o_RNO)) (portRef CE (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_valid_o)) )) - (net N_60_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_31)) - )) - (net N_57_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIHMOE1_o6_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_30)) - )) - (net N_55_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_p2l_cid_RNIGIOE1_o6_0)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_29)) - )) - (net N_3336_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_2_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_28)) - )) - (net N_3335_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_1_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_27)) - )) - (net N_3334_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNILTAV_0_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_25)) - )) - (net N_3333_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_23)) - )) - (net N_3332_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_22)) - )) - (net N_3331_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_7_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_21)) - )) - (net N_3330_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_6_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_20)) - )) - (net N_3329_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_19)) - )) - (net N_3328_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_18)) - )) - (net N_3327_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_5_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_17)) - )) - (net N_3326_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_4_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_16)) - )) - (net N_3325_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_14)) - )) - (net N_3324_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_13)) - )) - (net N_3323_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_3_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_12)) - )) - (net N_3322_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_2_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_9)) - )) - (net N_3321_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_8)) - )) - (net N_3320_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_7)) - )) - (net N_3319_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_1_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_6)) - )) - (net N_16_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_5)) - )) - (net N_3318_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_0_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_4)) - )) - (net N_3317_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNIOF9V_o6)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_3)) - )) - (net N_10_i (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_from_wb_fifo_gen_fifo_32bit_cmp_fifo_32x512_RNI2JCN_o5)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_2)) - )) - (net N_3316_i (joined - (portRef LO (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_RNO_1)) - (portRef D (instanceRef gnum_interface_block_cmp_wbmaster32_wbm_arb_data_o_1)) - )) (net (rename gnum_interface_block_cmp_clk_in_N_96_i "gnum_interface_block.cmp_clk_in.N_96_i") (joined (portRef O (instanceRef gnum_interface_block_cmp_clk_in_iodelay_m_RNIH96R1_o6)) (portRef D (instanceRef gnum_interface_block_cmp_clk_in_state_5)) @@ -102965,19 +100119,19 @@ (portRef O (instanceRef rst_0_sqmuxa_i)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_rst)) )) - (net N_2881_i (joined + (net N_72_0_i (joined (portRef LO (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNO_4)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_4)) )) - (net N_2878_i (joined + (net N_1854_i (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIFVT11_o5_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_2)) )) - (net N_2876_i (joined + (net N_1852_i (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o5_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_1)) )) - (net N_2874_i (joined + (net N_1850_i (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_RNIC3AS_o6_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_dac_bit_index_0)) )) @@ -103013,20 +100167,20 @@ (portRef LO (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_0)) )) - (net N_2906_i (joined + (net N_1877_i (joined (portRef LO (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_3)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_3)) )) - (net N_2904_i (joined + (net N_1875_i (joined (portRef LO (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNO_2)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_2)) )) - (net N_2889_i (joined + (net N_1860_i (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_RNILKN21_o5_2)) (portRef D (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_1)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_4_i "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_4_i") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un4_cnt_lut6_2_o5)) + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO)) (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_4_i "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen_4_i") (joined @@ -103034,7 +100188,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_lm_0 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_lm(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_ctrl_error_o_e_lut6_2_o5)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_RNIUG411_o5_0)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_0)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_target_addr_cnt_cryZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.target_addr_cnt_cry(1)") (joined @@ -103418,7 +100572,7 @@ (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_cry_0)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_lm(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_lm_0_0)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o5)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_0)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_dma_length_cnt_cryZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.dma_length_cnt_cry(1)") (joined @@ -103812,14 +100966,14 @@ )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_sZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_s(1)") (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_1)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_1 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_lm(1)") (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_lm_0_1)) (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_713_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_718_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_2)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_2)) )) @@ -103837,7 +100991,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_2)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_3 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_714_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_719_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_3)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_3)) )) @@ -103855,7 +101009,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_3)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_4 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_715_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_720_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_4)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_4)) )) @@ -103873,7 +101027,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_4)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_716_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_721_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_5)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_5)) )) @@ -103891,7 +101045,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_5)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_717_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_722_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_6)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_6)) )) @@ -103909,7 +101063,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_6)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_7 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_718_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_723_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_7)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_7)) )) @@ -103927,7 +101081,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_7)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_8 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_719_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_724_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_8)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_8)) )) @@ -103945,7 +101099,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_8)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_9 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_720_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_725_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_9)) (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_cry_9)) )) @@ -103962,7 +101116,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_9)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_qxu_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_qxu(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_721_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_726_gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_s_10)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_data_cnt_sZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.l2p_data_cnt_s(10)") (joined @@ -104001,7 +101155,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_3 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_725_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_730_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_3)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_3)) )) @@ -104015,7 +101169,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_3)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_4 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_726_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_731_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_4)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_4)) )) @@ -104029,7 +101183,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_5 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_727_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_732_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_5)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_5)) )) @@ -104043,7 +101197,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_5)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_6 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_728_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_733_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_6)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_6)) )) @@ -104057,7 +101211,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_6)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_7 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_729_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_734_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_7)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_7)) )) @@ -104071,7 +101225,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_7)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_8 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_730_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_735_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_8)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_8)) )) @@ -104085,7 +101239,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_8)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_9 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_731_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_736_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_9)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_cry_9)) )) @@ -104098,7 +101252,7 @@ (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_9)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_qxu_10 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_qxu(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_732_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_737_gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_1)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_s_10)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_sZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.p2l_data_cnt_s(10)") (joined @@ -104115,7 +101269,7 @@ (portRef CI (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_cry_1)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_s_0 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_s(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_733_cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1)) + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_738_cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_1)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_cry_0)) )) (net (rename cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0 "cmp_tdc.tdc_core.one_second_block.pulse_delayer_counter.counter_lm(0)") (joined @@ -104525,7 +101679,7 @@ (portRef CI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_cry_1)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_s_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_s(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_765_cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_1)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_770_cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_1)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_cry_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_lm(0)") (joined @@ -104929,562 +102083,8 @@ (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_31)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_31)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_0)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_0)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_3)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_6)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_7)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_6)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_8)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_7)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_7)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_9)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_8)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_8)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_10)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_9)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(10)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_11)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_10)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_10)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(11)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_12)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_11)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_11)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(12)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_13)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_12)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_12)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(13)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_14)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_13)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_13)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(14)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_15)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_14)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_14)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(15)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_16)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_15)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_15)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(16)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_17)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_16)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_16)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(17)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_18)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_17)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_17)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(18)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_19)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_18)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_18)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(19)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_20)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_19)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_19)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(20)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_21)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_20)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_20)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(21)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_22)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_21)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_21)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(22)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_23)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_22)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_22)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(23)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_24)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_23)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_23)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(24)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_25)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_24)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_24)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(25)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_26)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_25)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_25)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(26)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_27)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_26)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_26)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(27)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_28)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_27)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_27)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(28)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_29)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_28)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_28)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(29)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_30)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_29)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_29)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cryZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_cry(30)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_cry_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_31)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_30)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_30)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_sZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.wb_ack_cnt_s(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_s_31)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_ack_cnt_31)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_0)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_0)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_1)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_2)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_3)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_4)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_6)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_5)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_7)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_6)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_6)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_8)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_7)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_7)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_9)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_8)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_8)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_10)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_9)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_9)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(10)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_11)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_10)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_10)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(11)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_12)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_11)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_11)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(12)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_13)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_12)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_12)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(13)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_14)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_13)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_13)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(14)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_15)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_14)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_14)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(15)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_16)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_15)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_15)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(16)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_17)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_16)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_16)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(17)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_18)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_17)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_17)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(18)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_19)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_18)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_18)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(19)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_20)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_19)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_19)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(20)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_21)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_20)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_20)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(21)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_22)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_21)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_21)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(22)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_23)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_22)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_22)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(23)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_24)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_23)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_23)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(24)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_25)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_24 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_24)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_24)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(25)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_26)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_25 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_25)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_25)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(26)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_27)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_26 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_26)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_26)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(27)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_28)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_27 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_27)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_27)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(28)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_29)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_28 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_28)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_28)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(29)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_30)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_29 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_29)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_29)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cryZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_cry(30)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_cry_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_31)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_30 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_30)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_30)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_sZ0Z_31 "gnum_interface_block.cmp_l2p_dma_master.wb_read_cnt_s(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_s_31)) - (portRef D (instanceRef gnum_interface_block_cmp_l2p_dma_master_wb_read_cnt_31)) - )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_0 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(0)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_861_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_802_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_0)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_0)) )) @@ -105498,7 +102098,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_0)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_1 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_862_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_803_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_1)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_1)) )) @@ -105512,7 +102112,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_1)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_2 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_863_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_804_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_2)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_2)) )) @@ -105526,7 +102126,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_3 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_864_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_805_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_3)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_3)) )) @@ -105540,7 +102140,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_3)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_4 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_865_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_806_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_4)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_4)) )) @@ -105554,7 +102154,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_4)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_5 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_866_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_807_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_5)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_5)) )) @@ -105568,7 +102168,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_5)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_6 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_867_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_808_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_6)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_6)) )) @@ -105582,7 +102182,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_6)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_7 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_868_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_809_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_7)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_7)) )) @@ -105596,7 +102196,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_7)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_8 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_869_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_810_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_8)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_8)) )) @@ -105610,7 +102210,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_8)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_9 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_870_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_811_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_9)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_9)) )) @@ -105624,7 +102224,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_9)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_10 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(10)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_871_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_812_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_10)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_10)) )) @@ -105638,7 +102238,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_10)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_11 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(11)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_872_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_813_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_11)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_11)) )) @@ -105652,7 +102252,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_11)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_12 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(12)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_873_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_814_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_12)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_12)) )) @@ -105666,7 +102266,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_12)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_13 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(13)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_874_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_815_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_13)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_13)) )) @@ -105680,7 +102280,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_13)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_14 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(14)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_875_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_816_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_14)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_14)) )) @@ -105694,7 +102294,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_14)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_15 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(15)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_876_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_817_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_15)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_15)) )) @@ -105708,7 +102308,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_15)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_16 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(16)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_877_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_818_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_16)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_16)) )) @@ -105722,7 +102322,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_16)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_17 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(17)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_878_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_819_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_17)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_17)) )) @@ -105736,7 +102336,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_17)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_18 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(18)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_879_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_820_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_18)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_18)) )) @@ -105750,7 +102350,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_18)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_19 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(19)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_880_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_821_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_19)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_19)) )) @@ -105764,7 +102364,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_19)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_20 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(20)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_881_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_822_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_20)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_20)) )) @@ -105778,7 +102378,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_21 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(21)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_882_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_823_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_21)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_21)) )) @@ -105792,7 +102392,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_21)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_22 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(22)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_883_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_824_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_22)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_22)) )) @@ -105806,7 +102406,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_22)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_23 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(23)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_884_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_825_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_23)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_23)) )) @@ -105820,7 +102420,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_23)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_24 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(24)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_885_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_826_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_24)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_24)) )) @@ -105834,7 +102434,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_24)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_25 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(25)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_886_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_827_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_25)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_25)) )) @@ -105848,7 +102448,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_25)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_26 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(26)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_887_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_828_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_26)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_26)) )) @@ -105862,7 +102462,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_26)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_27 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(27)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_888_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_829_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_27)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_27)) )) @@ -105876,7 +102476,7 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_27)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_28 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(28)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_889_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_830_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_28)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_cry_28)) )) @@ -105889,572 +102489,13 @@ (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_28)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_qxu_29 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_qxu(29)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_890_b0)) + (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_831_b0)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_29)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_sZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.target_addr_cnt_s(29)") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_s_29)) (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_target_addr_cnt_29)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_0)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_0)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_1)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_2)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_3)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_4)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_6)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_7)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_9)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_8)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_10)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_9)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_9)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(10)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_10)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_10)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(11)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_11)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(12)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_13)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_12)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(13)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_14)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_13)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_13)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(14)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_15)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_14)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_14)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(15)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_15)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_15)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(16)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_17)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_16)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(17)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_18)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_17)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_17)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(18)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_18)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_18)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(19)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_20)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_19)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(20)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_21)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_20)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_20)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(21)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_22)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_21)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_21)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(22)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_23)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_22)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_22)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(23)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_23)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_23)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(24)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_25)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_24)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(25)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_26)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_25)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_25)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(26)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_26)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_26)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(27)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_27)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(28)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_28)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(29)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_29)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cryZ0Z_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_cry(30)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_cry_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_31)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_30 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_30)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_sZ0Z_31 "gnum_interface_block.cmp_p2l_dma_master.wb_ack_cnt_s(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_s_31)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_ack_cnt_31)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_0 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_0)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_0)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(1)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_1 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(1)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_1)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_1)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(2)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_2 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_2)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_2)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(3)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_3 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(3)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_3)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_3)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(4)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_4 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(4)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_4)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_4)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(5)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_5 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_5)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_5)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(6)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_6 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(6)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_6)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_6)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(7)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_7 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(7)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_7)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_7)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(8)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_9)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_8 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(8)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_8)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_8)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(9)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_10)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_9 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(9)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_9)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_9)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(10)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_10 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(10)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_10)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_10)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(11)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_11 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(11)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_11)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_11)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(12)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_13)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_12 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(12)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_12)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_12)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(13)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_14)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_13 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(13)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_13)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_13)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(14)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_15)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_14 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(14)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_14)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_14)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(15)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_15 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(15)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_15)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_15)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(16)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_17)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_16 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(16)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_16)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_16)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(17)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_18)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_17 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(17)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_17)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_17)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(18)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_18 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(18)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_18)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_18)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(19)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_20)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_19 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(19)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_19)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_19)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(20)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_21)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_20 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(20)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_20)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_20)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(21)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_22)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_21 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(21)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_21)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_21)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(22)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_23)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_22 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(22)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_22)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_22)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(23)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_23 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(23)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_23)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_23)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(24)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_24)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_25)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_24 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(24)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_24)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_24)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(25)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_25)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_26)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_25 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(25)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_25)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_25)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(26)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_26)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_26 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(26)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_26)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_26)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(27)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_27)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_27 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(27)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_27)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_27)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(28)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_28)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_28 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(28)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_28)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_28)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(29)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_29)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_29 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(29)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_29)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_29)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cryZ0Z_30 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_cry(30)") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_cry_30)) - (portRef CI (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_31)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_30 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(30)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_30)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_30)) - )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_sZ0Z_31 "gnum_interface_block.cmp_p2l_dma_master.wb_write_cnt_s(31)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_s_31)) - (portRef D (instanceRef gnum_interface_block_cmp_p2l_dma_master_wb_write_cnt_31)) - )) - (net (rename cmp_vic_U_Wrapped_VIC_timeout_count_cryZ0Z_0 "cmp_vic.U_Wrapped_VIC.timeout_count_cry(0)") (joined - (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_0)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_s_1)) - (portRef CI (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_cry_1)) - )) (net (rename cmp_vic_U_Wrapped_VIC_timeout_count_sZ0Z_0 "cmp_vic.U_Wrapped_VIC.timeout_count_s(0)") (joined (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_s_0)) (portRef D (instanceRef cmp_vic_U_Wrapped_VIC_timeout_count_0)) @@ -106607,7 +102648,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_qxu_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_qxu(2)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_973_m2)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_850_m2)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_2)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_2)) )) @@ -106660,7 +102701,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_0 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(0)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_979_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_856_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_0)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_0)) )) @@ -106674,7 +102715,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_1 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(1)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_980_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_857_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_1)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_1)) )) @@ -106688,7 +102729,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(2)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_981_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_858_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_2)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_2)) )) @@ -106702,7 +102743,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(3)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_982_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_859_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_3)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_3)) )) @@ -106716,7 +102757,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_4 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(4)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_983_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_860_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_4)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_4)) )) @@ -106730,7 +102771,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_5 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(5)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_984_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_861_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_5)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_5)) )) @@ -106744,7 +102785,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_6 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(6)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_985_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_862_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_6)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_6)) )) @@ -106758,7 +102799,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_7 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(7)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_986_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_863_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_7)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_7)) )) @@ -106772,7 +102813,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_8 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(8)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_987_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_864_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_8)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_8)) )) @@ -106786,7 +102827,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_9 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(9)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_988_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_865_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_9)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_9)) )) @@ -106800,7 +102841,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_10 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(10)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_989_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_866_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_10)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_10)) )) @@ -106814,7 +102855,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_11 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(11)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_990_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_867_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_11)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_11)) )) @@ -106828,7 +102869,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_12 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(12)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_991_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_868_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_12)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_12)) )) @@ -106842,7 +102883,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_13 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(13)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_992_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_869_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_13)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_13)) )) @@ -106856,7 +102897,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_14 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(14)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_993_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_870_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_14)) (portRef S (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_14)) )) @@ -106869,7 +102910,7 @@ (portRef D (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(15)") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_994_m1)) + (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_871_m1)) (portRef LI (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_15)) )) (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_div_sZ0Z_15 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.div_s(15)") (joined @@ -107153,7 +103194,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_qxu_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.cnt_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_1027_m2)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_904_m2)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_s_2)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_cry_2)) )) @@ -107206,7 +103247,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_cnt_7)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1033_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_910_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_0)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_0)) )) @@ -107220,7 +103261,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_0)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_1 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1034_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_911_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_1)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_1)) )) @@ -107234,7 +103275,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_2 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1035_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_912_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_2)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_2)) )) @@ -107248,7 +103289,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_2)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_3 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1036_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_913_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_3)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_3)) )) @@ -107262,7 +103303,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_3)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_4 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1037_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_914_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_4)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_4)) )) @@ -107276,7 +103317,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_4)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_5 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1038_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_915_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_5)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_5)) )) @@ -107290,7 +103331,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_5)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_6 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1039_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_916_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_6)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_6)) )) @@ -107304,7 +103345,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_6)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_7 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1040_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_917_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_7)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_7)) )) @@ -107318,7 +103359,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_7)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_8 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1041_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_918_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_8)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_8)) )) @@ -107332,7 +103373,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_8)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_9 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1042_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_919_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_9)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_9)) )) @@ -107346,7 +103387,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_9)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_10 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1043_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_920_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_10)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_10)) )) @@ -107360,7 +103401,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_10)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_11 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1044_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_921_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_11)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_11)) )) @@ -107374,7 +103415,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_11)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_12 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1045_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_922_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_12)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_12)) )) @@ -107388,7 +103429,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_12)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_13 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1046_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_923_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_13)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_13)) )) @@ -107402,7 +103443,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_13)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_14 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1047_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_924_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_14)) (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_cry_14)) )) @@ -107415,7 +103456,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_14)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_qxu_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_1048_m1)) + (portRef LO (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_925_m1)) (portRef LI (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_s_15)) )) (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_sZ0Z_15 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.div_s(15)") (joined @@ -107423,7 +103464,7 @@ (portRef D (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_div_15)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_0 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1049_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_926_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_0)) )) @@ -107437,7 +103478,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_1 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1050_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_927_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_1)) )) @@ -107451,7 +103492,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_2 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1051_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_928_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_2)) )) @@ -107465,7 +103506,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_3 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1052_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_3)) )) @@ -107479,7 +103520,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_4 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1053_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_4)) )) @@ -107493,7 +103534,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_5 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1054_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_5)) )) @@ -107507,7 +103548,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_6 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1055_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_6)) )) @@ -107521,7 +103562,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_7 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1056_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_7)) )) @@ -107535,7 +103576,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_7)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_8 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1057_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_8)) )) @@ -107549,7 +103590,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_8)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_9 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1058_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_9)) )) @@ -107563,7 +103604,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_9)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_10 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1059_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_10)) )) @@ -107577,7 +103618,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_10)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_11 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1060_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_11)) )) @@ -107591,7 +103632,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_11)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_12 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1061_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_12)) )) @@ -107605,7 +103646,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_12)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_13 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1062_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_13)) )) @@ -107619,7 +103660,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_13)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_14 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1063_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_14)) )) @@ -107633,7 +103674,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_14)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_15 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1064_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_15)) )) @@ -107647,7 +103688,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_15)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_16 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1065_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_16)) )) @@ -107661,7 +103702,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_16)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_17 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1066_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_17)) )) @@ -107675,7 +103716,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_17)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_18 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1067_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_18)) )) @@ -107689,7 +103730,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_18)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_19 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1068_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_19)) )) @@ -107703,7 +103744,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_19)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_20 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1069_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_20)) )) @@ -107717,7 +103758,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_20)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_21 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1070_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_21)) )) @@ -107731,7 +103772,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_21)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_22 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1071_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_22)) )) @@ -107745,7 +103786,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_22)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_23 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1072_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_23)) )) @@ -107759,7 +103800,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_23)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_24 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1073_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_24)) )) @@ -107773,7 +103814,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_24)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_25 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1074_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_25)) )) @@ -107787,7 +103828,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_25)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_26 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1075_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_26)) )) @@ -107801,7 +103842,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_26)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_27 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1076_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_27)) )) @@ -107815,7 +103856,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_27)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_28 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1077_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_28)) )) @@ -107829,7 +103870,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_28)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_29 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1078_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_29)) )) @@ -107843,7 +103884,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_29)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_30 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1079_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_cry_30)) )) @@ -107856,7 +103897,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_30)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_qxu_31 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1080_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_s_31)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_sZ0Z_31 "cmp_tdc.tdc_core.acam_timing_block.window_active_counter.counter_s(31)") (joined @@ -107864,7 +103905,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_31)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1081_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_0)) )) @@ -107878,7 +103919,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_1)) )) @@ -107892,7 +103933,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_2)) )) @@ -107906,7 +103947,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_3 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_3)) )) @@ -107920,7 +103961,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_4 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_4)) )) @@ -107934,7 +103975,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_5 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_963_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_5)) )) @@ -107948,7 +103989,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_6 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_6)) )) @@ -107962,7 +104003,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_7 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_7)) )) @@ -107976,7 +104017,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_7)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_8 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_8)) )) @@ -107990,7 +104031,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_8)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_9 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1090_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_967_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_9)) )) @@ -108004,7 +104045,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_9)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_10 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_10)) )) @@ -108018,7 +104059,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_10)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_11 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_11)) )) @@ -108032,7 +104073,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_11)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_12 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_12)) )) @@ -108046,7 +104087,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_12)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_13 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_13)) )) @@ -108060,7 +104101,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_13)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_14 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_14)) )) @@ -108074,7 +104115,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_14)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_15 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_15)) )) @@ -108088,7 +104129,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_15)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_16 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_16)) )) @@ -108102,7 +104143,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_16)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_17 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_17)) )) @@ -108116,7 +104157,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_17)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_18 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_18)) )) @@ -108130,7 +104171,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_18)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_19 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_19)) )) @@ -108144,7 +104185,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_19)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_20)) )) @@ -108158,7 +104199,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_20)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_21 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_21)) )) @@ -108172,7 +104213,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_21)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_22 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_22)) )) @@ -108186,7 +104227,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_22)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_23 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_23)) )) @@ -108200,7 +104241,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_23)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_24 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_24)) )) @@ -108214,7 +104255,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_24)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_25 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_25)) )) @@ -108228,7 +104269,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_25)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_26 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_26)) )) @@ -108242,7 +104283,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_26)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_27 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_27)) )) @@ -108256,7 +104297,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_27)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_28 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_28)) )) @@ -108270,7 +104311,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_28)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_29 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_29)) )) @@ -108284,7 +104325,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_29)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_30 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_30)) )) @@ -108297,7 +104338,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_30)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_qxu_31 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_s_31)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_sZ0Z_31 "cmp_tdc.tdc_core.start_retrigger_block.retrig_nb_counter.counter_s(31)") (joined @@ -108305,12 +104346,12 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_31)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_0)) )) (net (rename cmp_tdc_tdc_core_roll_over_nb_i_0 "cmp_tdc.tdc_core.roll_over_nb_i(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1)) + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1)) (portRef S (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_cry_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cryZ0Z_0 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_cry(0)") (joined @@ -108323,7 +104364,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_1 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_1)) )) @@ -108337,7 +104378,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_2 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_2)) )) @@ -108351,7 +104392,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_3 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_3)) )) @@ -108365,7 +104406,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_4 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_4)) )) @@ -108379,7 +104420,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_5 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_5)) )) @@ -108393,7 +104434,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_6 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_6)) )) @@ -108407,7 +104448,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_7 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_7)) )) @@ -108421,7 +104462,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_7)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_8 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_8)) )) @@ -108435,7 +104476,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_8)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_9 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_9)) )) @@ -108449,7 +104490,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_9)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_10 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_10)) )) @@ -108463,7 +104504,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_10)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_11 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_11)) )) @@ -108477,7 +104518,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_11)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_12 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_12)) )) @@ -108491,7 +104532,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_12)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_13 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_13)) )) @@ -108505,7 +104546,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_13)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_14 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_14)) )) @@ -108519,7 +104560,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_14)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_15 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_15)) )) @@ -108533,7 +104574,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_15)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_16 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_16)) )) @@ -108547,7 +104588,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_16)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_17 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_17)) )) @@ -108561,7 +104602,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_17)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_18 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_18)) )) @@ -108575,7 +104616,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_18)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_19 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_19)) )) @@ -108589,7 +104630,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_19)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_20 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_20)) )) @@ -108603,7 +104644,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_20)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_21 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_21)) )) @@ -108617,7 +104658,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_21)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_22 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_22)) )) @@ -108631,7 +104672,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_22)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_23 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_23)) )) @@ -108645,7 +104686,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_23)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_24 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_24)) )) @@ -108659,7 +104700,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_24)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_25 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_25)) )) @@ -108673,7 +104714,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_25)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_26 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_26)) )) @@ -108687,7 +104728,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_26)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_27 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_27)) )) @@ -108701,7 +104742,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_27)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_28 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_28)) )) @@ -108715,7 +104756,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_28)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_29 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_29)) )) @@ -108729,7 +104770,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_29)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_30 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_30)) )) @@ -108742,7 +104783,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_30)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_qxu_31 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_s_31)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_sZ0Z_31 "cmp_tdc.tdc_core.start_retrigger_block.roll_over_counter.counter_s(31)") (joined @@ -108750,12 +104791,12 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_31)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7)) + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3)) (portRef CI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_0)) (portRef CI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_0 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1145_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1022_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_0)) )) @@ -108769,7 +104810,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1146_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1023_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_1)) )) @@ -108783,7 +104824,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_2 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1147_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1024_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_2)) )) @@ -108797,7 +104838,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_3 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1148_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1025_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_3)) )) @@ -108811,7 +104852,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_4 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1149_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1026_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_4)) )) @@ -108825,7 +104866,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_5 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1150_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1027_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_5)) )) @@ -108839,7 +104880,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_6 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1151_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1028_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_6)) )) @@ -108853,7 +104894,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_qxu_7 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1152_m1)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1029_m1)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_cry_7)) )) @@ -108874,7 +104915,7 @@ (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_0 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_0)) )) @@ -108888,7 +104929,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_1 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_1)) )) @@ -108902,7 +104943,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_2 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_2)) )) @@ -108916,7 +104957,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_3 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_3)) )) @@ -108930,7 +104971,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_4 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_4)) )) @@ -108944,7 +104985,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_5 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_5)) )) @@ -108958,7 +104999,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_6 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_6)) )) @@ -108972,7 +105013,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_7 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_7)) )) @@ -108986,7 +105027,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_7)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_8 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_8)) )) @@ -109000,7 +105041,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_8)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_9 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_9)) )) @@ -109014,7 +105055,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_9)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_10 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_10)) )) @@ -109028,7 +105069,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_10)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_11 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_11)) )) @@ -109042,7 +105083,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_11)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_12 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_12)) )) @@ -109056,7 +105097,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_12)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_13 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_13)) )) @@ -109070,7 +105111,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_13)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_14 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_14)) )) @@ -109084,7 +105125,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_14)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_15 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_15)) )) @@ -109098,7 +105139,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_15)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_16 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_16)) )) @@ -109112,7 +105153,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_16)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_17 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_17)) )) @@ -109126,7 +105167,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_17)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_18 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_18)) )) @@ -109140,7 +105181,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_18)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_19 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_19)) )) @@ -109154,7 +105195,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_19)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_20 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_20)) )) @@ -109168,7 +105209,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_20)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_21 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_21)) )) @@ -109182,7 +105223,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_21)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_22 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_22)) )) @@ -109196,7 +105237,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_22)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_23 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_23)) )) @@ -109210,7 +105251,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_23)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_24 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_24)) )) @@ -109224,7 +105265,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_24)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_25 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_25)) )) @@ -109238,7 +105279,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_25)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_26 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_26)) )) @@ -109252,7 +105293,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_26)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_27 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_27)) )) @@ -109266,7 +105307,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_27)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_28 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_28)) )) @@ -109280,7 +105321,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_28)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_29 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_29)) )) @@ -109294,7 +105335,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_29)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_30 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_30)) )) @@ -109307,7 +105348,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_30)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_qxu_31 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_s_31)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_sZ0Z_31 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counter_s(31)") (joined @@ -109315,7 +105356,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_31)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_0)) )) @@ -109329,7 +105370,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_1)) )) @@ -109343,7 +105384,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_2)) )) @@ -109357,7 +105398,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_3 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_3)) )) @@ -109371,7 +105412,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_4 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_4)) )) @@ -109385,7 +105426,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_5 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_5)) )) @@ -109399,7 +105440,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_6 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_6)) )) @@ -109413,7 +105454,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_7 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_7)) )) @@ -109427,7 +105468,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_7)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_8 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_8)) )) @@ -109441,7 +105482,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_8)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_9 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_9)) )) @@ -109455,7 +105496,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_9)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_10 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_10)) )) @@ -109469,7 +105510,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_10)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_11 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_11)) )) @@ -109483,7 +105524,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_11)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_12 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_12)) )) @@ -109497,7 +105538,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_12)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_13 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_13)) )) @@ -109511,7 +105552,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_13)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_14 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_14)) )) @@ -109525,7 +105566,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_14)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_15 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_15)) )) @@ -109539,7 +105580,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_15)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_16 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_16)) )) @@ -109553,7 +105594,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_16)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_17 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_17)) )) @@ -109567,7 +105608,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_17)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_18 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_18)) )) @@ -109581,7 +105622,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_18)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_19 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_19)) )) @@ -109595,7 +105636,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_19)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_20 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_20)) )) @@ -109609,7 +105650,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_20)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_21 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_21)) )) @@ -109623,7 +105664,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_21)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_22 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_22)) )) @@ -109637,7 +105678,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_22)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_23 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_23)) )) @@ -109651,7 +105692,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_23)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_24 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_24)) )) @@ -109665,7 +105706,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_24)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_25 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_25)) )) @@ -109679,7 +105720,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_25)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_26 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_26)) )) @@ -109693,7 +105734,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_26)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_27 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_27)) )) @@ -109707,7 +105748,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_27)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_28 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_28)) )) @@ -109721,7 +105762,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_28)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_29 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_29)) )) @@ -109735,7 +105776,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_29)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_30 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_cry_30)) )) @@ -109748,7 +105789,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_30)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_qxu_31 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_s_31)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_sZ0Z_31 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.counter_s(31)") (joined @@ -109990,13 +106031,8 @@ (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_s_7)) (portRef D (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_7)) )) - (net (rename cmp_tdc_tdc_core_load_utc_i "cmp_tdc.tdc_core.load_utc_i") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_ctrl_reg_RNIIR93_9)) - (portRef CI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_0)) - (portRef CI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_0)) - )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_0 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1246_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1123_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_0)) )) @@ -110010,7 +106046,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_0)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_1 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1247_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1124_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_1)) )) @@ -110024,7 +106060,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_2 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1248_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1125_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_2)) )) @@ -110038,7 +106074,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_3 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1249_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1126_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_3)) )) @@ -110052,7 +106088,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_3)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_4 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1250_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1127_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_4)) )) @@ -110066,7 +106102,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_4)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_5 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1251_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1128_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_5)) )) @@ -110080,7 +106116,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_5)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_6 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1252_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1129_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_6)) )) @@ -110094,7 +106130,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_6)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_7 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1253_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1130_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_7)) )) @@ -110108,7 +106144,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_7)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_8 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1254_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1131_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_8)) )) @@ -110122,7 +106158,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_8)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_9 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1255_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1132_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_9)) )) @@ -110136,7 +106172,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_9)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_10 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1256_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1133_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_10)) )) @@ -110150,7 +106186,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_11 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1257_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1134_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_11)) )) @@ -110164,7 +106200,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_11)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_12 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1258_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1135_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_12)) )) @@ -110178,7 +106214,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_13 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1259_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1136_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_13)) )) @@ -110192,7 +106228,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_13)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_14 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1260_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1137_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_14)) )) @@ -110206,7 +106242,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_14)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_15 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1261_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1138_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_15)) )) @@ -110220,7 +106256,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_15)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_16 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1262_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1139_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_16)) )) @@ -110234,7 +106270,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_16)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_17 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1263_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1140_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_17)) )) @@ -110248,7 +106284,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_17)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_18 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1264_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1141_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_18)) )) @@ -110262,7 +106298,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_18)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_19 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1265_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1142_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_19)) )) @@ -110276,7 +106312,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_19)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_20 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1266_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1143_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_20)) )) @@ -110290,7 +106326,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_20)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_21 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1267_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1144_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_21)) )) @@ -110304,7 +106340,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_21)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_22 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1268_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1145_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_22)) )) @@ -110318,7 +106354,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_22)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_23 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1269_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1146_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_23)) )) @@ -110332,7 +106368,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_23)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_24 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1270_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1147_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_24)) )) @@ -110346,7 +106382,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_24)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_25 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1271_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1148_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_25)) )) @@ -110360,7 +106396,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_25)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_26 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1272_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1149_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_26)) )) @@ -110374,7 +106410,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_26)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_27 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1273_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1150_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_27)) )) @@ -110388,7 +106424,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_27)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_28 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1274_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1151_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_28)) )) @@ -110402,7 +106438,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_28)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_29 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1275_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1152_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_29)) )) @@ -110416,7 +106452,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_29)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_30 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1276_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1153_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_cry_30)) )) @@ -110429,7 +106465,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_30)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_qxu_31 "cmp_tdc.tdc_core.one_second_block.local_utc_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1277_b0)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_1154_b0)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_s_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_local_utc_sZ0Z_31 "cmp_tdc.tdc_core.one_second_block.local_utc_s(31)") (joined @@ -110437,7 +106473,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_local_utc_31)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1155_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_0)) )) @@ -110451,7 +106487,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1156_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_1)) )) @@ -110465,7 +106501,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1157_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_2)) )) @@ -110479,7 +106515,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_3 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1158_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_3)) )) @@ -110493,7 +106529,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_4 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1159_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_4)) )) @@ -110507,7 +106543,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_5 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1160_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_5)) )) @@ -110521,7 +106557,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_6 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1161_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_6)) )) @@ -110535,7 +106571,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_7 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1162_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_7)) )) @@ -110549,7 +106585,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_7)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_8 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1163_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_8)) )) @@ -110563,7 +106599,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_8)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_9 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1164_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_9)) )) @@ -110577,7 +106613,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_9)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_10 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1165_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_10)) )) @@ -110591,7 +106627,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_10)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_11 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1166_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_11)) )) @@ -110605,7 +106641,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_11)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_12 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1167_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_12)) )) @@ -110619,7 +106655,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_12)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_13 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1168_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_13)) )) @@ -110633,7 +106669,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_13)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_14 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1169_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_14)) )) @@ -110647,7 +106683,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_14)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_15 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1170_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_15)) )) @@ -110661,7 +106697,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_15)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_16 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1171_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_16)) )) @@ -110675,7 +106711,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_16)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_17 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1172_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_17)) )) @@ -110689,7 +106725,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_17)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_18 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1173_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_18)) )) @@ -110703,7 +106739,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_18)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_19 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1174_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_19)) )) @@ -110717,7 +106753,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_19)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_20 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1175_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_20)) )) @@ -110731,7 +106767,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_20)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_21 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1176_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_21)) )) @@ -110745,7 +106781,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_21)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_22 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1177_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_22)) )) @@ -110759,7 +106795,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_22)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_23 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1178_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_23)) )) @@ -110773,7 +106809,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_23)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_24 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1179_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_24)) )) @@ -110787,7 +106823,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_24)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_25 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1180_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_25)) )) @@ -110801,7 +106837,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_25)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_26 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1181_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_26)) )) @@ -110815,7 +106851,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_26)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_27 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1182_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_27)) )) @@ -110829,7 +106865,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_27)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_28 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1183_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_28)) )) @@ -110843,7 +106879,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_28)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_29 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1184_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_29)) )) @@ -110857,7 +106893,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_29)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_30 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1185_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_30)) )) @@ -110870,7 +106906,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_30)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_qxu_31 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1186_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_s_31)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_sZ0Z_31 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.counter_s(31)") (joined @@ -110878,7 +106914,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_0 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1310_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1187_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_0)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_0)) )) @@ -110892,7 +106928,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_0)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_1 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(1)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_1)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_1)) )) @@ -110906,7 +106942,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_2 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(2)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_2)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_2)) )) @@ -110920,7 +106956,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_2)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_3 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(3)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_3)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_3)) )) @@ -110934,7 +106970,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_3)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_4 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(4)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_4)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_4)) )) @@ -110948,7 +106984,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_4)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_5 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(5)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_5)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_5)) )) @@ -110962,7 +106998,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_5)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_6 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(6)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_6)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_6)) )) @@ -110976,7 +107012,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_6)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_7 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(7)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_7)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_7)) )) @@ -110990,7 +107026,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_7)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_8 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(8)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_8)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_8)) )) @@ -111004,7 +107040,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_8)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_9 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(9)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_9)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_9)) )) @@ -111018,7 +107054,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_9)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_10 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(10)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_10)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_10)) )) @@ -111032,7 +107068,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_10)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_11 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(11)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_11)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_11)) )) @@ -111046,7 +107082,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_11)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_12 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(12)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_12)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_12)) )) @@ -111060,7 +107096,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_12)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_13 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(13)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_13)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_13)) )) @@ -111074,7 +107110,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_13)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_14 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(14)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_14)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_14)) )) @@ -111088,7 +107124,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_14)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_15 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(15)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1325_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_15)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_15)) )) @@ -111102,7 +107138,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_15)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_16 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(16)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_16)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_16)) )) @@ -111116,7 +107152,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_16)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_17 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(17)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_17)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_17)) )) @@ -111130,7 +107166,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_17)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_18 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(18)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_18)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_18)) )) @@ -111144,7 +107180,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_18)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_19 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(19)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_19)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_19)) )) @@ -111158,7 +107194,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_19)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_20 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(20)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_20)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_20)) )) @@ -111172,7 +107208,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_20)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_21 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(21)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_21)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_21)) )) @@ -111186,7 +107222,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_21)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_22 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(22)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_22)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_22)) )) @@ -111200,7 +107236,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_22)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_23 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(23)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_23)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_23)) )) @@ -111214,7 +107250,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_23)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_24 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(24)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_24)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_24)) )) @@ -111228,7 +107264,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_24)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_25 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(25)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_25)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_25)) )) @@ -111242,7 +107278,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_25)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_26 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(26)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_26)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_26)) )) @@ -111256,7 +107292,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_26)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_27 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(27)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_27)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_27)) )) @@ -111270,7 +107306,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_27)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_28 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(28)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_28)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_28)) )) @@ -111284,7 +107320,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_28)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_29 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(29)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_29)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_29)) )) @@ -111298,7 +107334,7 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_29)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_30 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(30)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_30)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_30)) )) @@ -111311,14 +107347,14 @@ (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_30)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_qxu_31 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_qxu(31)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i)) + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1218_N_6_i)) (portRef LI (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_31)) )) (net (rename cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_sZ0Z_31 "cmp_tdc.tdc_core.one_second_block.clk_periods_counter.counter_s(31)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_s_31)) (portRef D (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_31)) )) - (net N_11452 (joined + (net N_8671 (joined (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9)) (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_0)) (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1)) @@ -111353,17 +107389,15 @@ (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_30)) (portRef CE (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_31)) )) - (net N_11463 (joined + (net N_8682 (joined (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_internal_rst_synch_RNI27F3_1)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_31_7_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_7_dat_matrix_15_7_lut6_2_o5_1)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_0)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_1)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_2)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_3)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_4)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_6)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_bus_rdt_7)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_0)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_1)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_2)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_3)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_4)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_6)) + (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_RNO_7)) (portRef CE (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_0)) (portRef CE (instanceRef cmp_dma_eic_eic_irq_controller_inst_irq_i_d2_1)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_0)) @@ -111401,6 +107435,7 @@ (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_9)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_8)) (portRef CE (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_adp_in_dat_5)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_31)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_30)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_29)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_28)) @@ -111416,6 +107451,7 @@ (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_18)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_17)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_16)) + (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_15)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_14)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_13)) (portRef CE (instanceRef cmp_carrier_onewire_U_Wrapped_1W_adp_in_dat_12)) @@ -111433,15 +107469,15 @@ (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0)) (portRef S (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_0)) )) - (net (rename cmp_tdc_tdc_core_N_2302_i "cmp_tdc.tdc_core.N_2302_i") (joined + (net N_8689_i (joined (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) (portRef S (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_0)) )) - (net N_11454_i (joined + (net N_8673_i (joined (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_RNO_0)) (portRef S (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_0)) )) - (net N_11544 (joined + (net N_8761 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_cry_7_RNO)) (portRef DI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_address_l_4_cry_7)) )) @@ -112704,7 +108740,7 @@ (portRef LO (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_axb_31)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_decode32_p_addr_decode_p2l_addr_4_s_31)) )) - (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1449 "gnum_interface_block.cmp_p2l_dma_master.N_1449") (joined + (net (rename gnum_interface_block_cmp_p2l_dma_master_N_1442 "gnum_interface_block.cmp_p2l_dma_master.N_1442") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_cry_0_RNO)) (portRef S (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_cry_0)) )) @@ -112896,279 +108932,349 @@ (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19_RNO)) (portRef LI (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_cnt_6_0_s_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0_RNO)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_0") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_0)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_1 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_1") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI7KB21_6)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_1)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_1)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_1 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_1") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_1)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_2") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI9OB21_7)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_2)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_2)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_2") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_2)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_3 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_3") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII99T_8)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_3)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_3 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_3") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_3)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_4 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_4") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIKH9T_9)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_4)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_4)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_4 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_4") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_4)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_5") (joined + (net N_521 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_cry_0_RNO)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_0)) + )) + (net (rename m2_s_1_i_0_m2_cryZ0Z_0 "m2_s_1_i_0_m2_cry_0") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_0)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_1)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_1)) + )) + (net m2_s_1_i_0_m2_axb_1 (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI7KB21_8)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_1)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_1)) + )) + (net (rename m2_s_1_i_0_m2_cryZ0Z_1 "m2_s_1_i_0_m2_cry_1") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_1)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_2)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_2)) + )) + (net m2_s_1_i_0_m2_axb_2 (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNI9OB21_9)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_2)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_2)) + )) + (net (rename m2_s_1_i_0_m2_cryZ0Z_2 "m2_s_1_i_0_m2_cry_2") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_2)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_3)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_3)) + )) + (net m2_s_1_i_0_m2_axb_3 (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNII99T_10)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_3)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_3)) + )) + (net (rename m2_s_1_i_0_m2_cryZ0Z_3 "m2_s_1_i_0_m2_cry_3") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_3)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_4)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_4)) + )) + (net m2_s_1_i_0_m2_axb_4 (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_len_o_RNIKH9T_11)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_4)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_4)) + )) + (net (rename m2_s_1_i_0_m2_cryZ0Z_4 "m2_s_1_i_0_m2_cry_4") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_4)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_5)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_5)) + )) + (net m2_s_1_i_0_m2_axb_5 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFGVP_10)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_5)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_5)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_5)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_5)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_5 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_5") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_5)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_6)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_5 "m2_s_1_i_0_m2_cry_5") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_5)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_6)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_6") (joined + (net m2_s_1_i_0_m2_axb_6 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIHKVP_11)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_6)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_6)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_6)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_6 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_6") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_6)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_7)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_6 "m2_s_1_i_0_m2_cry_6") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_6)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_7)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_7 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_7") (joined + (net m2_s_1_i_0_m2_axb_7 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJOVP_12)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_7)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_7)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_7)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_7)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_7 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_7") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_7)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_8)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_7 "m2_s_1_i_0_m2_cry_7") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_7)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_8)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_8 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_8") (joined + (net m2_s_1_i_0_m2_axb_8 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILSVP_13)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_8)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_8)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_8)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_8)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_8 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_8") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_8)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_9)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_8 "m2_s_1_i_0_m2_cry_8") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_8)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_9)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_9 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_9") (joined + (net m2_s_1_i_0_m2_axb_9 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIN00Q_14)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_9)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_9)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_9)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_9 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_9") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_9)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_10)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_9 "m2_s_1_i_0_m2_cry_9") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_9)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_10)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_10)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_10 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_10") (joined + (net m2_s_1_i_0_m2_axb_10 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP40Q_15)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_10)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_10)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_10)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_10)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_10 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_10") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_10)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_11)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_10 "m2_s_1_i_0_m2_cry_10") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_10)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_11)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_11 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_11") (joined + (net m2_s_1_i_0_m2_axb_11 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR80Q_16)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_11)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_11)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_11)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_11)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_11 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_11") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_11)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_12)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_11 "m2_s_1_i_0_m2_cry_11") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_11)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_12)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_12)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_12 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_12") (joined + (net m2_s_1_i_0_m2_axb_12 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNITC0Q_17)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_12)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_12)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_12)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_12)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_12 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_12") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_12)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_13)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_12 "m2_s_1_i_0_m2_cry_12") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_12)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_13)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_13)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_13 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_13") (joined + (net m2_s_1_i_0_m2_axb_13 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIM8VP_18)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_13)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_13)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_13)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_13)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_13 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_13") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_13)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_14)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_13 "m2_s_1_i_0_m2_cry_13") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_13)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_14)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_14)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_14 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_14") (joined + (net m2_s_1_i_0_m2_axb_14 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIOCVP_19)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_14)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_14)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_14)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_14)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_14 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_14") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_14)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_15)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_14 "m2_s_1_i_0_m2_cry_14") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_14)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_15)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_15)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_15 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_15") (joined + (net m2_s_1_i_0_m2_axb_15 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJKVP_20)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_15)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_15)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_15)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_15)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_15 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_15") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_15)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_16)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_15 "m2_s_1_i_0_m2_cry_15") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_15)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_16)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_16 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_16") (joined + (net m2_s_1_i_0_m2_axb_16 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNILOVP_21)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_16)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_16)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_16)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_16)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_16 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_16") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_16)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_17)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_16 "m2_s_1_i_0_m2_cry_16") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_16)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_17)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_17 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_17") (joined + (net m2_s_1_i_0_m2_axb_17 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNINSVP_22)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_17)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_17)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_17)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_17)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_17 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_17") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_17)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_18)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_17 "m2_s_1_i_0_m2_cry_17") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_17)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_18)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_18 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_18") (joined + (net m2_s_1_i_0_m2_axb_18 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIP00Q_23)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_18)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_18)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_18)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_18)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_18 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_18") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_18)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_19)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_18 "m2_s_1_i_0_m2_cry_18") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_18)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_19)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_19 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_19") (joined + (net m2_s_1_i_0_m2_axb_19 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIR40Q_24)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_19)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_19)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_19)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_19)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_19 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_19") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_19)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_20)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_19 "m2_s_1_i_0_m2_cry_19") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_19)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_20)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_20)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_20 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_20") (joined + (net m2_s_1_i_0_m2_axb_20 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIT80Q_25)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_20)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_20)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_20)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_20)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_20 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_20") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_20)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_21)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_20 "m2_s_1_i_0_m2_cry_20") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_20)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_21)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_21)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_21 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_21") (joined + (net m2_s_1_i_0_m2_axb_21 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIVC0Q_26)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_21)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_21)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_21)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_21)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_21 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_21") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_21)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_22)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_21 "m2_s_1_i_0_m2_cry_21") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_21)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_22)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_22)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_22 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_22") (joined + (net m2_s_1_i_0_m2_axb_22 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI1H0Q_27)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_22)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_22)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_22)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_22)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_22 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_22") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_22)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_23)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_22 "m2_s_1_i_0_m2_cry_22") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_22)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_23)) + (portRef CI (instanceRef m2_s_1_i_0_m2_cry_23)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_23 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_23") (joined + (net m2_s_1_i_0_m2_axb_23 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNII88E_28)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_23)) - (portRef S (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_23)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_23)) + (portRef S (instanceRef m2_s_1_i_0_m2_cry_23)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cryZ0Z_23 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_cry_23") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_cry_23)) - (portRef CI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24)) + (net (rename m2_s_1_i_0_m2_cryZ0Z_23 "m2_s_1_i_0_m2_cry_23") (joined + (portRef LO (instanceRef m2_s_1_i_0_m2_cry_23)) + (portRef CI (instanceRef m2_s_1_i_0_m2_s_24)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_axb_24 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.l2p_len_cnt_4_i_0_m2_axb_24") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24_RNO)) - (portRef LI (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_l2p_len_cnt_4_i_0_m2_s_24)) + (net m2_s_1_i_0_m2_axb_24 (joined + (portRef O (instanceRef m2_s_1_i_0_m2_s_24_RNO)) + (portRef LI (instanceRef m2_s_1_i_0_m2_s_24)) + )) + (net (rename gnum_interface_block_cmp_dma_controller_N_2505_i "gnum_interface_block.cmp_dma_controller.N_2505_i") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_ldmx)) + (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_ldmx)) + )) + (net (rename cmp_sdb_crossbar_crossbar_matrix_old_i_2 "cmp_sdb_crossbar.crossbar.matrix_old_i(2)") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIG6R5_2)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_1)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_2)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6)) + (portRef R (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7)) + (portRef R (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o)) + (portRef R (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc "cmp_tdc.cmp_sdb_crossbar.rom.slave_o.ackc") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_5_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) + (portRef D (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ack)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_oc "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.iack_oc") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o_RNIT3MP1_o5)) + (portRef D (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_iack_o)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_ocZ0 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc)) (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_o)) )) - (net (rename cmp_tdc_tdc_core_N_2586 "cmp_tdc.tdc_core.N_2586") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_tstamp_wr_wb_adr_un9_tstamp_wr_cyc_i_o3_lut6_2_o5)) - (portRef D (instanceRef cmp_tdc_tdc_core_circular_buffer_block_tstamp_wr_ack_p)) + (net (rename cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_ocZ0 "cmp_tdc.tdc_core.acam_timing_block.start_from_fpga_oc") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_oc)) + (portRef D (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_ocZ0 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) (portRef D (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_o)) )) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c_0 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_29_lut6_2_o5_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_1)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c_1 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c_1") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_dat_matrix_9_lut6_2_o5_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_2)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c_3 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_m1_lut6_2_o5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c_5 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c_5") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_6)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c_6 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c_6") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o5)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_7)) + )) (net (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regcZ0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_reg)) )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_i "cmp_tdc.tdc_core.interrupts_generator.irq_stc_0_i") (joined + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_i "cmp_tdc.tdc_core.interrupts_generator.irq_stc_i") (joined (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_1)) )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_i "cmp_tdc.tdc_core.interrupts_generator.irq_stc_i") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0)) - (portRef D (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_0)) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIL6AV_o5_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0)) + )) + (net (rename cnx_master_out_5_adr_4 "cnx_master_out_5.adr(4)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c_2 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_5_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_3)) + )) + (net (rename cnx_master_out_5_adr_6 "cnx_master_out_5.adr(6)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNITC113)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0c_4 "cmp_tdc.tdc_core.reg_control_block.reg_adr_pipe0c_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) + (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5)) + )) + (net (rename cnx_master_out_5_cyc "cnx_master_out_5.cyc") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_9_2)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1)) )) (net (rename cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1 "cmp_tdc.tdc_core.acam_timing_block.window_delayer_counter.counter_is_zero_oc_1") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o6)) (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc)) )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_2 "cmp_tdc.tdc_core.interrupts_generator.irq_stc_0_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_m2_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0)) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_2 "cmp_tdc.tdc_core.interrupts_generator.irq_stc_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o6)) (portRef R (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_31)) (portRef R (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_30)) (portRef R (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_29)) @@ -113211,446 +109317,360 @@ (portRef R (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_1)) (portRef R (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_0)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_0 "cmp_tdc.tdc_core.m30_e_s_0_0") (joined + (net (rename cmp_tdc_tdc_core_m32_e_s_0 "cmp_tdc.tdc_core.m32_e_s_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_7)) (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_24 "cmp_tdc.tdc_core.m30_e_s_0_24") (joined + (net (rename cmp_tdc_tdc_core_m32_e_s_24 "cmp_tdc.tdc_core.m32_e_s_24") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) )) - (net (rename cmp_tdc_cnx_master_out_0_adr_2 "cmp_tdc.cnx_master_out_0.adr(2)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_3_slave_logic_slave_matrix_or_31_result_27_1_lut6_2_o5_5)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_RNI88KA1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIHRL37)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_25 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(25)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_25)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25)) )) - (net (rename cmp_tdc_cnx_master_out_0_adr_7 "cmp_tdc.cnx_master_out_0.adr(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNINBQU6_o6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_RNI88KA1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIHRL37)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNILGG88)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_2_lut6_2_RNIAB8B7_1)) - )) - (net N_2399 (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0)) - )) - (net (rename cmp_tdc_cnx_master_out_0_adr_6 "cmp_tdc.cnx_master_out_0.adr(6)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_o6)) - (portRef D (instanceRef cmp_tdc_tdc_core_reg_control_block_reg_adr_pipe0_4)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_8_lut6_2_RNI88KA1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_25 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(25)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25)) )) - (net (rename cnx_master_out_5_adr_3 "cnx_master_out_5.adr(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_prerce_8)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_wb_wacc_0_a2_1_lut6_2_RNIR1L41_0)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_25 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(25)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_25)) )) - (net N_1916_i (joined - (portRef O (instanceRef cmp_vic_U_Wrapped_VIC_state_RNI12KE1_o6_4)) - (portRef I3 (instanceRef cmp_vic_U_Wrapped_VIC_state_srsts_0_i_i_a2_lut6_2_o5_3)) - (portRef CE (instanceRef cmp_vic_U_Wrapped_VIC_current_irqcst_4)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(21)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(21)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(21)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(26)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(23)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(26)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(23)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(26)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(23)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(27)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(4)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(27)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(4)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_27 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(27)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_27)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_27)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(4)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(8)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(12)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(8)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(12)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_12)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(8)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_8)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(12)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_12)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(11)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_11)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(11)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_11 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(11)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_11)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1)) + (net (rename cmp_tdc_tdc_core_m81_0 "cmp_tdc.tdc_core.m81_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIPPC3D_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0Z0Z_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_0(10)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2Z0Z_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_2(10)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(7)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_7)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5Z0Z_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_5(10)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_10)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_10)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(26)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) + (net (rename cmp_tdc_tdc_core_m83_s_0_i_0 "cmp_tdc.tdc_core.m83_s_0_i_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI62JOF_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(26)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_26)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) + (net (rename cmp_tdc_tdc_core_m83_s_0_i_2 "cmp_tdc.tdc_core.m83_s_0_i_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIETBM6_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_26 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(26)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_26)) + (net (rename cmp_tdc_tdc_core_m83_s_0_i_1_1 "cmp_tdc.tdc_core.m83_s_0_i_1_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_6_RNIARFGK_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIMQGR41_16)) )) - (net (rename cmp_tdc_tdc_core_m79_0 "cmp_tdc.tdc_core.m79_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNIHCLV_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_0(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1)) )) - (net (rename cmp_tdc_tdc_core_m79_1 "cmp_tdc.tdc_core.m79_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI8T2I_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_2(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1)) )) - (net (rename cmp_tdc_tdc_core_m79_2 "cmp_tdc.tdc_core.m79_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI3MIE_25)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o27_lut6_2_RNINFNE2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_5(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_1)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(16)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16)) - )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(16)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_16)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(6)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_16 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(16)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_16)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(6)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(17)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(6)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(17)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_17)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17)) + (net (rename cmp_tdc_tdc_core_m51_s_i_0 "cmp_tdc.tdc_core.m51_s_i_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIN1ES7_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(17)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_17)) + (net (rename cmp_tdc_tdc_core_m51_s_i_2 "cmp_tdc.tdc_core.m51_s_i_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNI5SH5E_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(18)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18)) + (net (rename cmp_tdc_tdc_core_m51_s_i_1_1 "cmp_tdc.tdc_core.m51_s_i_1_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNI0I1TL_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI8F8NF1_2)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(18)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(23)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_18 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(18)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_18)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(23)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(19)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_23 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(23)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_23)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_23)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(19)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_19)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19)) + (net (rename cmp_tdc_tdc_core_m93_0 "cmp_tdc.tdc_core.m93_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIGSEM_18)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(19)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_19)) + (net (rename cmp_tdc_tdc_core_m93_1 "cmp_tdc.tdc_core.m93_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNIDPLCF_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(20)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) + (net (rename cmp_tdc_tdc_core_m93_1_1 "cmp_tdc.tdc_core.m93_1_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNI6QA6V_18)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIPEOJ61_18)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(20)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(15)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(20)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(15)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0Z0Z_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_0(22)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_0_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(15)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_15)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1Z0Z_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_1(22)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(17)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_17)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1Z0Z_22 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_iv_1_1(22)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_1_1_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_iv_22)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(17)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(8)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_17 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(17)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_17)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_17)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(8)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_8)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(19)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_19)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_8 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(8)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_8)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(19)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_19 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(19)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_19)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(12)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(12)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_12 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(12)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_12)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_12)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3)) + (net (rename cmp_tdc_tdc_core_m92_0 "cmp_tdc.tdc_core.m92_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNI621511_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_3)) + (net (rename cmp_tdc_tdc_core_m92_2 "cmp_tdc.tdc_core.m92_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_10_RNI7I7P6_22)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIPT0F81_22)) )) - (net (rename cmp_tdc_tdc_core_m57_s_0 "cmp_tdc.tdc_core.m57_s_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_4_RNINSLV_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0Z0Z_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_0(7)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_0_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7)) )) - (net (rename cmp_tdc_tdc_core_m57_s_1 "cmp_tdc.tdc_core.m57_s_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIEL3I_27)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2Z0Z_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_2(7)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_2_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7)) )) - (net (rename cmp_tdc_tdc_core_m57_s_2 "cmp_tdc.tdc_core.m57_s_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_1_RNI9EJE_27)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_data_config_decoder_acam_dat_o18_lut6_2_RNI5PUE2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5Z0Z_7 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0_5(7)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_7)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(2)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(24)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(2)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(24)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_2 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(2)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(24)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(5)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(9)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_9)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(5)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_5)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(9)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(5)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_5)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(9)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_9)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(6)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(4)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(6)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_6)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(4)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_6 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(6)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_6)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_4 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(4)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_4)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(13)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(13)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_13)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(13)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_13)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(13)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(13)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_13)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_13 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(13)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_13)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(9)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(14)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(9)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(14)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_9 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(9)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_9)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(14)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_14)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(15)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15)) + (net m51_s_2_0 (joined + (portRef O (instanceRef pll_sdi_o_obuf_RNO_1)) + (portRef I5 (instanceRef pll_sdi_o_obuf_RNO)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(15)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_15)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(3)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_15 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(15)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_15)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(3)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(10)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_3 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(3)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_3)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(10)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(20)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_20)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_10 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(10)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_10)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(20)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) )) - (net m51_s_2_0 (joined - (portRef O (instanceRef pll_sdi_o_obuf_RNO_2)) - (portRef I5 (instanceRef pll_sdi_o_obuf_RNO)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_20 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(20)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_20)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_20)) )) - (net (rename cmp_tdc_tdc_core_m57_s_0_0_1 "cmp_tdc.tdc_core.m57_s_0_0_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_2_RNIS5UO_11)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0Z0Z_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_0(5)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) )) - (net (rename cmp_tdc_tdc_core_m57_s_0_2 "cmp_tdc.tdc_core.m57_s_0_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_3_RNIPLRL_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2Z0Z_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_2(5)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_2_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5)) )) - (net (rename cmp_tdc_tdc_core_m57_s_0_1_0 "cmp_tdc.tdc_core.m57_s_0_1_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_5_RNIBP5R2_11)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_0_RNIRAB64_11)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1Z0Z_5 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0_1_1(5)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_1_1_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_5)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(14)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(0)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(14)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(0)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_14 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(14)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_14)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_0 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(0)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(24)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0Z0Z_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_0(21)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_0_21)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(24)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_24)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2Z0Z_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_2(21)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_2_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_24 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(24)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_24)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1Z0Z_21 "cmp_tdc.tdc_core.data_engine_block.acam_dat_o_0_iv_1_1(21)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_1_1_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_dat_o_0_iv_21)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_0_1_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_a2_0_1(6)") (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o5_22)) (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_i_0_0_o2_0_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_i_0_0_o2_0(2)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_valid_o_6_iv_0_s_0_0_o2_lut6_2_o5)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_i_0_o2_2(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o6_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5)) - (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3Z0Z_5 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_i_0_o2_3(5)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_3_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_o2_2_lut6_2_o5_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_0_a2_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3)) - )) (net (rename gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0Z0Z_3 "gnum_interface_block.cmp_p2l_dma_master.un1_p2l_dma_current_state_22_0_o2_0_0_3") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_0_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5)) @@ -113661,6 +109681,18 @@ (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o5)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_p2l_dma_current_state_22_0_o2_0_lut6_2_o6)) )) + (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_o2_2") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o6)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5)) + (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3)) + )) + (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2Z0Z_3 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_o2_3") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_o2_2_lut6_2_o5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a2_6)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_i_0_0_a2_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3)) + )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0Z0Z_0 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto9_i_a2_0_0") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2_0_0)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) @@ -113678,7 +109710,7 @@ (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto9_i_a2)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_m10_0_2 "gnum_interface_block.cmp_p2l_dma_master.m10_0_2") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIN0J4_1)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV0J4_5)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_10)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_23)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_22)) @@ -113686,58 +109718,62 @@ (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_20)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_m10_3 "gnum_interface_block.cmp_p2l_dma_master.m10_3") (joined - (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIV2PD_3)) + (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_len_header_RNIL2PD_1)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p2l_data_cnt_lm_0_10)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_23)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_22)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_21)) (portRef I5 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_data_o_RNO_20)) )) - (net m130_s_0_a5_1 (joined + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_i_o2_1_2 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_i_o2_1(2)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_a2_lut6_2_o5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_RNO_5)) + )) + (net m99_s_0_0_a5_1 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) )) - (net m130_s_0_a5_2 (joined + (net m99_s_0_0_a5_2 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) )) - (net m130_s_0_a5_0_1 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_5)) + (net m99_s_0_0_a5_0_1 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIM7NR_o5_2)) (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) )) - (net m130_s_0_a5_0_2 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_6)) + (net m99_s_0_0_a5_0_2 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIINMR_o5_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) )) - (net m130_s_0_a5_3_0 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25)) + (net m99_s_0_0_a5_3_0 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23)) (portRef I0 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_2)) )) - (net m130_s_0_a5_3_1 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_3)) + (net m99_s_0_0_a5_3_1 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21)) (portRef I1 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_2)) )) - (net m130_s_0_a5_3_2 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_4)) + (net m99_s_0_0_a5_3_2 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_18)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_2)) )) - (net m130_s_0_a5_4_0 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIG5J71_o5_19)) + (net m99_s_0_0_a5_4_0 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_2)) )) - (net m130_s_0_a5_4_1 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o5_21)) + (net m99_s_0_0_a5_4_1 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_2)) )) - (net m130_s_0_a5_4_2 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o5_23)) + (net m99_s_0_0_a5_4_2 (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIEDJ71_o5_25)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_2)) )) - (net m130_s_0_a5_0_4 (joined + (net m99_s_0_0_a5_0_4 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_1)) (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) )) - (net m130_s_0_a5_2_4 (joined + (net m99_s_0_0_a5_2_4 (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e_RNO_2)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_64b_address_e)) )) @@ -113765,8 +109801,8 @@ (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un8_p2l_dma_current_state_5)) (portRef I0 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_64b_address_RNO)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0Z0Z_2 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_0_2") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_0_2") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_2_lut6_2_o6)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1Z0Z_3 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_1_3") (joined @@ -113787,47 +109823,53 @@ )) (net (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0Z0Z_4 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_0_4") (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4)) - (portRef I3 (instanceRef gnum_interface_block_rst_reg_RNI133T1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5)) (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4_RNIE7VI1)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1Z0Z_4 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_1_4") (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_1_4)) - (portRef I5 (instanceRef gnum_interface_block_rst_reg_RNI133T1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4_RNIE7VI1)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2Z0Z_4 "gnum_interface_block.cmp_l2p_dma_master.p_target_cnt.un1_dma_length_cnt_2_4") (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_2_4)) - (portRef I4 (instanceRef gnum_interface_block_rst_reg_RNI133T1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_din_1_sqmuxa_0_a6_0_a3_0_a5)) (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_addr_fifo_wr_e)) (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_target_cnt_un1_dma_length_cnt_0_4_RNIE7VI1)) )) - (net m13_s_1_0_a6_0_a2_0 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIFR5E_24)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI2FT52_22)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2Z0Z_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_0_3)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2)) )) - (net m13_s_1_0_a6_0_a2_2 (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIH25E_12)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2Z0Z_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2)) )) - (net m13_s_1_0_a6_0_a2_1_3 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIS9E9_18)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22)) - (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI2FT52_22)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1Z0Z_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_0") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_0)) + (portRef I2 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2)) )) - (net m13_s_1_0_a6_0_a2_3_1 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIJ0N4_11)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1Z0Z_1 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_1_1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2)) )) - (net m13_s_1_0_a6_0_a2_3_2 (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIQ0I91_6)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_header_RNO_5)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNIDKIB2_22)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_len_cnt_RNI2FT52_22)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3Z0Z_0 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_0") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2)) + )) + (net (rename gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3Z0Z_2 "gnum_interface_block.cmp_l2p_dma_master.p_pkt_gen.un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2_3_2)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_p_pkt_gen_un9_l2p_dma_current_statelto29_i_0_a3_0_a2)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0Z0Z_0 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_0") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_0)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0)) + )) + (net (rename gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0Z0Z_1 "gnum_interface_block.cmp_l2p_arbiter.un12_eop_0_0_1") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_arbiter_un12_eop_0_0)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0Z0Z_3 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3") (joined (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_3)) @@ -113853,58 +109895,55 @@ (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1)) + (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1_0)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_1)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNILHD82_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1Z0Z_4 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_1_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1_0)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_1)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNILHD82_3)) (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO)) )) (net (rename gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2Z0Z_4 "gnum_interface_block.cmp_dma_controller.dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4") (joined (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_2_4)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNIJ4FB4_1)) (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1)) + (portRef I2 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNITK0Q1_0)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_1)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNO_4)) (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNILHD82_3)) (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_l2p_o_RNO)) - (portRef I4 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_start_p2l_o_RNO)) )) (net (rename gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0 "gnum_interface_block.cmp_p2l_dma_master.un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_un1_pdm_arb_dframe_o_0_sqmuxa_0_0_a2_0_lut6_2_o6)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_pdm_arb_req_o_e)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_4_661_0_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_4_661_0_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI1DA12_o6_20)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) - )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_1_724_0_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_1_724_0_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_3_685_0_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_3_685_0_0") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_2_703_1_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_2_703_1_0") (joined + (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_2_706_0_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_2_706_0_0") (joined (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNINU2V1_o6_22)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_22)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_3_682_0_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_3_682_0_0") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNIVCA12_o6_21)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_21)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_1_727_0_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_1_727_0_0") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_address_h_RNI5DA12_o6_23)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_23)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2Z0Z_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_0_a2_1") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_a2_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_9_iv_4_664_0_0 "gnum_interface_block.cmp_l2p_dma_master.ldm_arb_data_o_9_iv_4_664_0_0") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_0_20)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_ldm_arb_data_o_RNO_20)) + )) + (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2Z0Z_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_a2_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_a2_1)) + (portRef I4 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3)) )) (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_6 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_a5_0(6)") (joined (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_a5_0_0_6)) @@ -113916,13 +109955,13 @@ )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29Z0Z_1 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto29_1") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_1)) - (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I1 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_9)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_8)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_7)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_6)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_3)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_2)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_1)) @@ -113930,13 +109969,13 @@ )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29Z0Z_2 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto29_2") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_2)) - (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I2 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_9)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_8)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_7)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_6)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_5)) + (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_3)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_2)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_1)) @@ -113948,13 +109987,13 @@ )) (net (rename gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0Z0Z_4 "gnum_interface_block.cmp_p2l_dma_master.p_read_req.un17_p2l_dma_current_statelto29_0_4") (joined (portRef O (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_un17_p2l_dma_current_statelto29_0_4)) - (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I3 (instanceRef gnum_interface_block_cmp_p2l_dma_master_l2p_last_packet_RNO)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_9)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_8)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_7)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_6)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_5)) + (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_4)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_3)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_2)) (portRef I4 (instanceRef gnum_interface_block_cmp_p2l_dma_master_p_read_req_l2p_len_header_3_1)) @@ -113972,242 +110011,312 @@ (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_current_state_RNI0PHI1_o6_3)) (portRef I1 (instanceRef gnum_interface_block_cmp_dma_controller_dma_ctrl_carrier_addr_o_1_sqmuxa_i_a2_0_4_RNI3EBN4)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0Z0Z_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_0_1") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0Z0Z_1 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_1") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_1)) + (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0Z0Z_3 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_0_3") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_0_3)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0Z0Z_3 "gnum_interface_block.cmp_l2p_dma_master.un1_l2p_dma_current_state_21_0_0_3") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_21_0_0_3)) (portRef I5 (instanceRef gnum_interface_block_cmp_l2p_dma_master_data_fifo_rd_e)) )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_2Z0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.gen_al.ial_2_0") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_2_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_gen_al_ial_4_u)) + )) (net (rename cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_36Z0Z_0 "cmp_tdc.tdc_core.data_formatting_block.un4_un_nb_of_cycles_36_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_36_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIJ7CR_7)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_retrig_nb_offset_RNIE33P_7)) (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un4_un_nb_of_cycles_cry_8_RNO)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_0Z0Z_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_0(15)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_0_15)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) + (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_0(0)") (joined + (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_lut6_2_o6_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_0Z0Z_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_0(24)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_0_24)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) + (net (rename cmp_tdc_tdc_core_m32_e_s_16_0 "cmp_tdc.tdc_core.m32_e_s_16_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNICH5H_10)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_0Z0Z_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_0(19)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_0_19)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) + (net (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_2_3 "cmp_tdc.tdc_core.data_engine_block.acam_adr_cnst_i_a2_2(3)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o5_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_RNIOQVP1_2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_0Z0Z_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_0(27)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_0_27)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_a3Z0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.un1_rst_1_0_a3_1") (joined + (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0_a3_1)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_un1_rst_1_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_0Z0Z_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_0(20)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_0_20)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5Z0Z_2 "cmp_tdc.tdc_core.data_formatting_block.op_gt.un5_un_current_retrig_from_roll_overlto5_2") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_1Z0Z_22 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10_1(22)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_1_22)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_0Z0Z_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24_0(8)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_0_8)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_1Z0Z_8 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24_1(8)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_1_8)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_0Z0Z_13 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_0(13)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_0_13)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_1Z0Z_15 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_1(15)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_1_15)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_0Z0Z_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_0(21)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_0_21)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_1Z0Z_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_1(16)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_1_16)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_1Z0Z_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_1(25)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_1_25)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_0Z0Z_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_0(23)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_0_23)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_1Z0Z_23 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_1(23)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_1_23)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_0Z0Z_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_0(10)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_0_10)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_1Z0Z_24 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_1(24)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_1_24)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_0Z0Z_25 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_0(25)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_0_25)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_1Z0Z_12 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_1(12)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_1_12)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_1Z0Z_14 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_1(14)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_1_14)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_0Z0Z_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_0(26)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_0_26)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_1Z0Z_26 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_1(26)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_1_26)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_0Z0Z_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23_0(9)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_0_9)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_1Z0Z_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_1(28)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_1_28)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_0Z0Z_22 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10_0(22)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_0_22)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_1Z0Z_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_1(17)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_1_17)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_0Z0Z_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_0(29)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_0_29)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_1Z0Z_18 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_1(18)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_1_18)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_0Z0Z_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_0(11)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_0_11)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2Z0Z_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_2(7)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_0Z0Z_12 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_0(12)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_0_12)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2Z0Z_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28_2(4)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_0Z0Z_14 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_0(14)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_0_14)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2Z0Z_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_2(5)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_0Z0Z_16 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_0(16)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_0_16)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2Z0Z_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26_2(6)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_0Z0Z_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_0(30)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_0_30)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_1Z0Z_20 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_1(20)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_1_20)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_0Z0Z_28 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_0(28)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_0_28)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_1Z0Z_10 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_1(10)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_1_10)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_0Z0Z_17 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_0(17)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_0_17)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_1Z0Z_13 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_1(13)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_1_13)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_0Z0Z_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_0(31)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_0_31)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_1Z0Z_30 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_1(30)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_1_30)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_0Z0Z_18 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_0(18)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_0_18)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_1Z0Z_29 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_1(29)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_1_29)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_16_0 "cmp_tdc.tdc_core.m30_e_s_0_16_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIB4HM_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_1Z0Z_9 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23_1(9)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_1_9)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) )) - (net (rename gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_0 "gnum_interface_block.cmp_l2p_dma_master.l2p_dma_current_state_ns_0_0_0_0_0(0)") (joined - (portRef O (instanceRef gnum_interface_block_cmp_l2p_dma_master_l2p_dma_current_state_ns_0_0_0_0_0_lut6_2_o6_0)) - (portRef I3 (instanceRef gnum_interface_block_cmp_l2p_dma_master_un1_l2p_dma_current_state_16_0_0_0_a2_lut6_2_o5)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_1Z0Z_19 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_1(19)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_1_19)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_3 "cmp_tdc.tdc_core.data_engine_block.acam_adr_cnst_i_a2_0(3)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_cnst_i_a2_0_lut6_2_o6_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_acam_adr_o_3)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_1Z0Z_31 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_1(31)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_1_31)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5Z0Z_2 "cmp_tdc.tdc_core.data_formatting_block.op_gt.un5_un_current_retrig_from_roll_overlto5_2") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_op_gt_un5_un_current_retrig_from_roll_overlto5_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_1Z0Z_21 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_1(21)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_1_21)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_2Z0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_2(1)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_2_1)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_1Z0Z_27 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_1(27)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_1_27)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_2Z0Z_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.result_2(0)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_2_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_1Z0Z_11 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_1(11)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_1_11)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_1Z0Z_6 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26_1(6)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_1_6)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29_2(3)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_1Z0Z_5 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_1(5)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_1_5)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0Z0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_1Z0Z_7 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_1(7)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_1_7)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_3Z0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_3(1)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_3_1)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_1)) )) (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2Z0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_2(2)") (joined (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_1Z0Z_4 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28_1(4)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_1_4)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_3Z0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_3(2)") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_3_2)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_1Z0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29_1(3)") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_1_3)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) + (net (rename cmp_tdc_m76_s_0Z0Z_0 "cmp_tdc.m76_s_0_0") (joined + (portRef O (instanceRef cmp_tdc_m76_s_0_0)) + (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) )) - (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un29_mbusy_2") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_o6)) - (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un29_mbusy_2_lut6_2_RNILSAH3)) + (net (rename cmp_tdc_m76_s_0_3 "cmp_tdc.m76_s_0_3") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_dat_0_dreg_RNI83NC1_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) )) - (net m50_s_1_0 (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_slave_matrixs_6_slave_logic_slave_matrix_or_31_result_27_lut6_2_o5_5)) - (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_9_2)) + (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a2_0(2)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a2_0_1_lut6_2_o6_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) )) - (net m112_s_0_0 (joined - (portRef LO (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_ial_RNO)) + (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + )) + (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i_2 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un34_acam_ack_i_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_lut6_2_o5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + )) + (net (rename cmp_tdc_tdc_core_m47_e_s_12_0_1 "cmp_tdc.tdc_core.m47_e_s_12_0_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNITILI_4)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1)) + )) + (net (rename cmp_tdc_tdc_core_m47_e_s_12_1 "cmp_tdc.tdc_core.m47_e_s_12_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNI1JLI_6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIKBMA2_1)) + )) + (net (rename cmp_tdc_tdc_core_m7_e_s_0 "cmp_tdc.tdc_core.m7_e_s_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIGRD2_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI2KF6_30)) )) (net m106_e_0 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_bit_index_RNI3HKA_3)) + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_1)) (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o5_1)) (portRef I1 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_o6_1)) - (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_3)) )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25Z0Z_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_25_3") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) + (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25Z0Z_3 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_25_3") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counterlde_0_0_a2_0_0 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counterlde_0_0_a2_0_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI39BH_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIS8BE1_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counterlde_0_0_a2_0_2 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counterlde_0_0_a2_0_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIBAJB_5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_1_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI485K1_3)) + )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6_0Z0Z_0 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_0_a3_0_a2_6_0_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6_0_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6)) + )) + (net (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6_1 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_0_a3_0_a2_6_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_a2_lut6_2_o5_8)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_0_a3_0_a2_6)) + )) + (net m12_3 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO_0)) + (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_a3_0_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_0_a3_0(0)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_4_sqmuxa_0_o2_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0_0)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un40_mbusy_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_0Z0Z_0 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_6_0_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_0_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un40_mbusy_1") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_1_lut6_2_o6)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un40_mbusy_0_lut6_2_RNIROKT1)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6Z0Z_1 "cmp_tdc.tdc_core.data_formatting_block.wr_index_0_sqmuxa_6_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_wr_index_0_sqmuxa_6)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un113_mbusy_0_0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un113_mbusy_0_0") (joined + (portRef O (instanceRef cmp_dma_eic_ack_sreg_RNIKS5P_o5_0)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_iZ0Z_0 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un113_mbusy_0_1 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un113_mbusy_0_1") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNI72I51_o5_2)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3_0 "cmp_tdc.tdc_core.data_engine_block.config_adr_counter.un40_acam_ack_i_3_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un113_mbusy_1 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un113_mbusy_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNICMCJ_o5_1)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNI37IB3_0)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_30_1") (joined (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup)) )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_0Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_30_0_1") (joined @@ -114228,926 +110337,589 @@ )) (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30Z0Z_3 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_30_3") (joined (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_30_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i)) (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup)) )) - (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2Z0Z_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_a2_0") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) - (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10)) - )) - (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_a2_1") (joined - (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_o5_10)) - (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m4_lut6_2_RNIO9961_10)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rstZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNI1IS73)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_RNI5RBT2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI2C933_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI4C933_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6C933_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8C933_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIAC933_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNICC933_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIEC933_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIGC933_7)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIIC933_8)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIKC933_9)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI43QA3_10)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6BQA3_11)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8JQA3_12)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIARQA3_13)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIC3RA3_14)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rstZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_1") (joined (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_RNIEA8F2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNIA1PP2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIBR5L2_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDR5L2_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFR5L2_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIHR5L2_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJR5L2_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILR5L2_5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNINR5L2_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIPR5L2_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIRR5L2_8)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNITR5L2_9)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDIMS2_10)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFQMS2_11)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIH2NS2_12)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJANS2_13)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILINS2_14)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNI1IS73)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_RNI5RBT2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI2C933_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI4C933_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6C933_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8C933_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIAC933_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNICC933_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIEC933_6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIGC933_7)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIIC933_8)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIKC933_9)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI43QA3_10)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6BQA3_11)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8JQA3_12)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIARQA3_13)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIC3RA3_14)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0Z0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0_1") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0Z0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0_2") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0Z0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_0_4") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1Z0Z_4 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_1_4") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rstZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_3") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_1_RNIEA8F2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNIA1PP2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIBR5L2_0)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDR5L2_1)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFR5L2_2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIHR5L2_3)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJR5L2_4)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILR5L2_5)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNINR5L2_6)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIPR5L2_7)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIRR5L2_8)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNITR5L2_9)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIDIMS2_10)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIFQMS2_11)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIH2NS2_12)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIJANS2_13)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNILINS2_14)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rstZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.gen_clken.op_eq.un5_rst_2") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_2)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNI1IS73)) + (portRef I0 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_RNI5RBT2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI2C933_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI4C933_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6C933_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8C933_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIAC933_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNICC933_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIEC933_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIGC933_7)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIIC933_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIKC933_9)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI43QA3_10)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6BQA3_11)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8JQA3_12)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIARQA3_13)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIC3RA3_14)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_2 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a3_1_1(2)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_1_lut6_2_o6_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_8)) + (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2Z0Z_0 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_a2_0") (joined + (portRef LO (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0)) + (portRef I5 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1)) )) - (net (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24Z0Z_3 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_24_3") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) + (net (rename gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_1 "gnum_interface_block.cmp_dma_controller.dma_controller_wb_slave_0.un1_ack_in_progress57_0_a2_1") (joined + (portRef O (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_rddata_reg_20_m0_lut6_2_o5_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_dma_controller_dma_controller_wb_slave_0_un1_ack_in_progress57_0_a2_0_RNI3GLJ1)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cntZ0Z_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.op_eq.un1_filter_cnt_0") (joined (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_un1_rst)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI68PC1_0)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8CPC1_1)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAGPC1_2)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICKPC1_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIEOPC1_4)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIGSPC1_5)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII0QC1_6)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIK4QC1_7)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITT3L1_8)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIV54L1_9)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8C8C1_10)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAG8C1_11)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICK8C1_12)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0_RNI5ACU)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIRFCP2_0)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJCP2_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNCP2_2)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SCP2_3)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI30DP2_4)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI54DP2_5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI78DP2_6)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI9CDP2_7)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII5N13_8)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIKDN13_9)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJRO2_10)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNRO2_11)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SRO2_12)) (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cntZ0Z_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.op_eq.un1_filter_cnt_1") (joined (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_1)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_un1_rst)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI68PC1_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8CPC1_1)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAGPC1_2)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICKPC1_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIEOPC1_4)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIGSPC1_5)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII0QC1_6)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIK4QC1_7)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITT3L1_8)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIV54L1_9)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8C8C1_10)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAG8C1_11)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICK8C1_12)) + (portRef I1 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0_RNI5ACU)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIRFCP2_0)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJCP2_1)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNCP2_2)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SCP2_3)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI30DP2_4)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI54DP2_5)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI78DP2_6)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI9CDP2_7)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII5N13_8)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIKDN13_9)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJRO2_10)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNRO2_11)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SRO2_12)) (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) )) (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cntZ0Z_2 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.bus_status_ctrl.filter_scl_sda.op_eq.un1_filter_cnt_2") (joined (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_2)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_cry_cy_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_un1_rst)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI68PC1_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8CPC1_1)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAGPC1_2)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICKPC1_3)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIEOPC1_4)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIGSPC1_5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII0QC1_6)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIK4QC1_7)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITT3L1_8)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIV54L1_9)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI8C8C1_10)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIAG8C1_11)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNICK8C1_12)) + (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_bus_status_ctrl_filter_scl_sda_op_eq_un1_filter_cnt_0_RNI5ACU)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIRFCP2_0)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJCP2_1)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNCP2_2)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SCP2_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI30DP2_4)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI54DP2_5)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI78DP2_6)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI9CDP2_7)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNII5N13_8)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIKDN13_9)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNITJRO2_10)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNIVNRO2_11)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_RNI1SRO2_12)) (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_filter_cnt_s_RNO_13)) )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counterlde_0_a3_0_0 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counterlde_0_a3_0_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o5_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counterlde_0_a3_0_1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counterlde_0_a3_0_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o5_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counterlde_0_a3_0_2 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counterlde_0_a3_0_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNI92JB_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIKL3V_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counterlde_0_a3_1 "cmp_tdc.tdc_core.interrupts_generator.tstamps_counter.counterlde_0_a3_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o6_7)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNIULTC1_5)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_o2_0(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_0_0_lut6_2_o6_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_1_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_o2_1(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_tstamps_counter_counter_RNILHDH_o5_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_o2_2(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_o2_2_lut6_2_o6_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_0_1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_0)) - )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.un2366_tmp_0") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_0_lut6_2_o6)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) - )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_un2366_tmp_2 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.un2366_tmp_2") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNIR3DH1_o5_11)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) - )) - (net (rename cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2Z0Z_0 "cmp_tdc.cmp_fmc_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_ovd_NE_2_0") (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_2_0)) - (portRef S (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_RNO)) - )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NEZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_ovd_NE_3") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_ovd_NE_3)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_smp_0_sqmuxa)) + (net m55_0_0 (joined + (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIS6VV_o6)) + (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_1_NE_2") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o6)) - (portRef I1 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_1_sqmuxa_1)) - )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_t_rstp_1_NE_3") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_3_0)) - (portRef I4 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_1_sqmuxa_1)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.6.vector_or.un2043_tmp_0") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_un2043_tmp_0_lut6_2_o6)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0_0)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_6_0_matrix_new_5_1)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy_0") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_o6)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2)) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24Z0Z_3 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_24_3") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_3)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.vector_or.un102_mbusy_0_0") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNIVC551_o5_1)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy)) - (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_0_lut6_2_RNIMRRJ2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ackZ0Z_3 "cmp_tdc.cmp_sdb_crossbar.crossbar.slave_o_0.ack_3") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3)) + (portRef I5 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_o_0_ack_3_RNI5CCT1)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen9_2") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_t_rstp_1_NE_2_lut6_2_o5)) - (portRef I0 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_1_sqmuxa_1)) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_un2695_tmpZ0Z_1 "cmp_sdb_crossbar.crossbar.matrix_logic.0.4.vector_or.vector_or.vector_or.un2695_tmp_1") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_vector_or_vector_or_un2695_tmp_1)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_17_4)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un3285_tmp)) + (portRef I4 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9Z0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.owr_oen9_3") (joined - (portRef LO (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen9_3)) - (portRef I5 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_1_sqmuxa_1)) + (net (rename cmp_tdc_m60_s_0_0_0 "cmp_tdc.m60_s_0_0_0") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_old_RNISBPF1_o6_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_dat_2") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_2_lut6_2_o6)) - (portRef I2 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_1_sqmuxa_1)) + (net (rename cmp_tdc_m57_s_0_0_0 "cmp_tdc.m57_s_0_0_0") (joined + (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_dat_o_t_RNIM1LQ_o5_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) )) - (net (rename cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_datZ0Z_3 "cmp_carrier_onewire.U_Wrapped_1W.Wrapped_1wire.un1_owr_dat_3") (joined - (portRef O (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_un1_owr_dat_3)) - (portRef I3 (instanceRef cmp_carrier_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_1_sqmuxa_1)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0Z0Z_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_0(12)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_12)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_34_12)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_0(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o5_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0Z0Z_8 "cmp_tdc.tdc_core.reg_control_block.dat_out_26_i_0(8)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_26_i_0_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_8)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_0_0_1(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_0_0_1_lut6_2_o6_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un34_acam_ack_i)) + (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_1_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_1(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_counter_un40_acam_ack_i_2_lut6_2_o5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_2_sqmuxa)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_0_2)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_1)) (portRef I0 (instanceRef cmp_tdc_tdc_core_data_engine_block_config_adr_c_RNO_3)) )) + (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_2Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_2(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_2_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) + )) (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3Z0Z_1 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_a2_3(1)") (joined (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a2_3_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_data_block_ack_o_i_a2_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_RNO_6)) (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) - )) - (net (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2Z0Z_1 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_2_1") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_1)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_1_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_0(3)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_statemachine_nxt_state_decoder_shift_4_iv_i_o2_0_lut6_2_o5)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0Z0Z_1 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_0(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_1)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_a3_2_1_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_a3_2_1(0)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_a3_1_lut6_2_o5_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0Z0Z_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_0(7)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_7)) )) - (net m31_s_0_3 (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_wb_adr_t_RNI3OPJ1_3)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) - (portRef I2 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tzZ0Z_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.nxt_state_decoder.isda_oen_4_iv_tz_3") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_tz_3)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_nxt_state_decoder_isda_oen_4_iv_i)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_isda_oen_e)) )) - (net m37_e_s_2 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIVSAJ_1)) + (net m37_e_s_1_2 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI3DBJ_1)) (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) (portRef I3 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) )) - (net m37_e_s_3 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNI2VQP_3)) + (net m37_e_s_1_3 (joined + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_pll_byte_index_RNIUEQP_2)) (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_5_RNIE1092)) (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_4_RNID1092)) (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_3_RNIC1092)) (portRef I4 (instanceRef cmp_tdc_clks_rsts_mgment_un1_pll_byte_index_s_2_RNIB1092)) (portRef I5 (instanceRef cmp_tdc_clks_rsts_mgment_config_st_srsts_lut6_2_RNO_0_3)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2Z0Z_13 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_2(13)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2Z0Z_30 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_2(30)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2Z0Z_21 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_2(21)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2Z0Z_15 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_2(15)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2Z0Z_8 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24_2(8)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2_8)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2Z0Z_22 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10_2(22)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2_22)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2Z0Z_27 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_2(27)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2Z0Z_11 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_2(11)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_2Z0Z_31 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_2(31)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_2_31)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2Z0Z_17 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_2(17)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2Z0Z_12 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_2(12)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2Z0Z_23 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_2(23)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2Z0Z_10 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_2(10)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2Z0Z_14 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_2(14)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2Z0Z_16 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_2(16)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2Z0Z_24 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_2(24)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2Z0Z_9 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23_2(9)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2_9)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) - )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2Z0Z_25 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_2(25)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0Z0Z_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_0(11)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_0_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_11)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2Z0Z_20 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_2(20)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_1Z0Z_11 "cmp_tdc.tdc_core.reg_control_block.dat_out_25_i_1(11)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_25_i_1_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_33_11)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2Z0Z_26 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_2(26)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0Z0Z_22 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_0(22)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_22)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_22)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2Z0Z_19 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_2(19)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) + (net (rename cmp_tdc_tdc_core_m28_s_1_0_2 "cmp_tdc.tdc_core.m28_s_1_0_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRGMK_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2Z0Z_29 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_2(29)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) + (net (rename cmp_tdc_tdc_core_m28_s_1_0_3 "cmp_tdc.tdc_core.m28_s_1_0_3") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI22D91_5)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2Z0Z_28 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_2(28)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + (net (rename cmp_tdc_tdc_core_m28_s_1_1_0 "cmp_tdc.tdc_core.m28_s_1_1_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNITV78_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2Z0Z_18 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_2(18)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) + (net (rename cmp_tdc_tdc_core_m28_s_1_1_2 "cmp_tdc.tdc_core.m28_s_1_1_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIEQEE_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11)) )) - (net (rename cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_0 "cmp_dma_eic.un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0(0)") (joined - (portRef O (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o6_0)) - (portRef I4 (instanceRef cmp_dma_eic_eic_ier_write_int_e)) + (net (rename cmp_tdc_tdc_core_m28_s_1_2_0 "cmp_tdc.tdc_core.m28_s_1_2_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI0888_20)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_isr_write_int_1_sqmuxa_2 "cmp_tdc.cmp_tdc_eic.eic_isr_write_int_1_sqmuxa_2") (joined - (portRef O (instanceRef cmp_dma_eic_un1_eic_ier_write_int_1_sqmuxa_1_i_a2_0_lut6_2_o5_0)) - (portRef I4 (instanceRef cmp_tdc_cmp_tdc_eic_eic_isr_write_int_e)) + (net (rename cmp_tdc_tdc_core_m28_s_1_2_1 "cmp_tdc.tdc_core.m28_s_1_2_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI5098_17)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20)) )) - (net (rename cmp_tdc_tdc_core_m28_s_1_0 "cmp_tdc.tdc_core.m28_s_1_0") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIARCB1_10)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3)) + (net (rename cmp_tdc_tdc_core_m28_s_1_2_2 "cmp_tdc.tdc_core.m28_s_1_2_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1G88_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20)) )) - (net (rename cmp_tdc_tdc_core_m28_s_1_2 "cmp_tdc.tdc_core.m28_s_1_2") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICOPO_30)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21)) + (net (rename cmp_tdc_tdc_core_m28_s_1_3_0 "cmp_tdc.tdc_core.m28_s_1_3_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3G88_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20)) )) - (net (rename cmp_tdc_tdc_core_m28_s_1_1_0 "cmp_tdc.tdc_core.m28_s_1_1_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIUR78_31)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3)) + (net (rename cmp_tdc_tdc_core_m28_s_1_3_1 "cmp_tdc.tdc_core.m28_s_1_3_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIVV78_23)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20)) )) - (net (rename cmp_tdc_tdc_core_m28_s_1_3_0 "cmp_tdc.tdc_core.m28_s_1_3_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI0888_20)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21)) - )) - (net (rename cmp_tdc_tdc_core_m28_s_1_0_4 "cmp_tdc.tdc_core.m28_s_1_0_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3ALK_13)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1080_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1079_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1078_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1077_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1076_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1075_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1074_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1073_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1072_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1071_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1070_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1069_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1068_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1067_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1066_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1065_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1064_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1063_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1062_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1061_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1060_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1059_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1058_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1057_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1056_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1055_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1054_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1053_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1052_m1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) + (net (rename cmp_tdc_tdc_core_m28_s_1_3_2 "cmp_tdc.tdc_core.m28_s_1_3_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNIRF78_21)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20)) )) (net (rename cmp_tdc_tdc_core_m28_s_1_1_4 "cmp_tdc.tdc_core.m28_s_1_1_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI2P1T2_3)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1080_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1079_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1078_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1077_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1076_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1075_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1074_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1073_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1072_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1071_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1070_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1069_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1068_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1067_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1066_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1065_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1064_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1063_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1062_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1061_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1060_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1059_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1058_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1057_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1056_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1055_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1054_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1053_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1052_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) + (portRef LO (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1T1T2_11)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30)) )) (net (rename cmp_tdc_tdc_core_m28_s_1_2_4 "cmp_tdc.tdc_core.m28_s_1_2_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI6GHH1_21)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1080_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1079_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1078_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1077_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1076_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1075_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1074_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1073_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1072_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1071_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1070_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1069_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1068_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1067_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1066_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1065_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1064_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1063_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1062_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1061_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1060_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1059_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1058_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1057_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1056_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1055_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1054_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1053_m1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1052_m1)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI3OHH1_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o5_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_o_RNO)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_oc)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) + )) + (net (rename cmp_tdc_tdc_core_m28_s_1_3_4 "cmp_tdc.tdc_core.m28_s_1_3_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI8RMH3_30)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o5_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_952_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_951_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_957_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_930_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_936_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_955_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_956_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_950_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_954_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_935_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_953_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_942_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_945_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_934_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_949_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_943_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_946_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_933_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_932_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_940_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_944_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_941_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_929_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_931_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_937_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_947_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_938_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_939_m1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_948_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_from_fpga_oc)) (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_0_2 "cmp_tdc.tdc_core.m30_e_s_0_0_2") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPJ7F_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10)) + (net (rename cmp_tdc_tdc_core_m30_e_0_2 "cmp_tdc.tdc_core.m30_e_0_2") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRGOQ_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_1_0 "cmp_tdc.tdc_core.m30_e_s_1_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV0PQ_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28)) + (net (rename cmp_tdc_tdc_core_m30_e_1_0 "cmp_tdc.tdc_core.m30_e_1_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNILJ7F_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_1_1 "cmp_tdc.tdc_core.m30_e_s_1_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3HPQ_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28)) + (net (rename cmp_tdc_tdc_core_m30_e_1_1 "cmp_tdc.tdc_core.m30_e_1_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIE01L_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_1_2 "cmp_tdc.tdc_core.m30_e_s_1_2") (joined + (net (rename cmp_tdc_tdc_core_m30_e_1_2 "cmp_tdc.tdc_core.m30_e_1_2") (joined (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI71QQ_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_2_0 "cmp_tdc.tdc_core.m30_e_s_2_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI9S7F_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28)) + (net (rename cmp_tdc_tdc_core_m30_e_2_0 "cmp_tdc.tdc_core.m30_e_2_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1K7F_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_2_1 "cmp_tdc.tdc_core.m30_e_s_2_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIV38F_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28)) + (net (rename cmp_tdc_tdc_core_m30_e_2_1 "cmp_tdc.tdc_core.m30_e_2_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITJ7F_15)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_2_2 "cmp_tdc.tdc_core.m30_e_s_2_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRGOQ_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28)) + (net (rename cmp_tdc_tdc_core_m30_e_2_2 "cmp_tdc.tdc_core.m30_e_2_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPJ7F_13)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_3_0 "cmp_tdc.tdc_core.m30_e_s_3_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNITR7F_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16)) + (net (rename cmp_tdc_tdc_core_m30_e_3_0 "cmp_tdc.tdc_core.m30_e_3_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVR7F_23)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_3_1 "cmp_tdc.tdc_core.m30_e_s_3_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1S7F_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16)) + (net (rename cmp_tdc_tdc_core_m30_e_3_1 "cmp_tdc.tdc_core.m30_e_3_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIRR7F_21)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_3_2 "cmp_tdc.tdc_core.m30_e_s_3_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI5S7F_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16)) + (net (rename cmp_tdc_tdc_core_m30_e_3_2 "cmp_tdc.tdc_core.m30_e_3_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUN7F_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_4_0 "cmp_tdc.tdc_core.m30_e_s_4_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIVJ7F_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16)) + (net (rename cmp_tdc_tdc_core_m30_e_4_0 "cmp_tdc.tdc_core.m30_e_4_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI408F_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_4_1 "cmp_tdc.tdc_core.m30_e_s_4_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3K7F_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16)) + (net (rename cmp_tdc_tdc_core_m30_e_4_1 "cmp_tdc.tdc_core.m30_e_4_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI7S7F_27)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_4_2 "cmp_tdc.tdc_core.m30_e_s_4_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIPR7F_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16)) + (net (rename cmp_tdc_tdc_core_m30_e_4_2 "cmp_tdc.tdc_core.m30_e_4_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI3S7F_25)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_1 "cmp_tdc.tdc_core.m30_e_s_0_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIQ14R1_10)) + (net (rename cmp_tdc_tdc_core_m30_e_0_4 "cmp_tdc.tdc_core.m30_e_0_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIT4VN2_31)) (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1310_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1187_m2)) (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o_e)) (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i)) - )) - (net (rename cmp_tdc_tdc_core_m30_e_s_1_4 "cmp_tdc.tdc_core.m30_e_s_1_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIC4L94_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i)) + )) + (net (rename cmp_tdc_tdc_core_m30_e_1_4 "cmp_tdc.tdc_core.m30_e_1_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI1HPC3_10)) (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1310_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1187_m2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o_e)) (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i)) - )) - (net (rename cmp_tdc_tdc_core_m30_e_s_2_4 "cmp_tdc.tdc_core.m30_e_s_2_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNIUNER2_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i)) + )) + (net (rename cmp_tdc_tdc_core_m30_e_2_4 "cmp_tdc.tdc_core.m30_e_2_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_RNI68FR2_19)) (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_cry_cy_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1336_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1335_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1334_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1332_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1331_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1330_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1327_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1326_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1324_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1322_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1321_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1318_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1315_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1314_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1313_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1312_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1311_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1310_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1213_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1212_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1211_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1209_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1208_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1207_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1204_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1203_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1201_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1199_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1198_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1195_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1192_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1191_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1190_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1189_m2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1188_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1187_m2)) (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_is_zero_o_e)) (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_onesec_counter_en_RNIEUNI9)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1341_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1340_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1339_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1338_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1337_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1333_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1329_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1328_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1323_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1320_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1319_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1317_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1316_N_6_i)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_1)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2Z0Z_2 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2Z0Z_3 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2Z0Z_4 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0Z0Z_2 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_0_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0Z0Z_3 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_0_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_0_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3Z0Z_4 "cmp_tdc.tdc_core.interrupts_generator.IRQ_generator_comb.op_gt.un7lto31_i_a2_3_4") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_IRQ_generator_comb_op_gt_un7lto31_i_a2_3_4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_0_2 "cmp_tdc.tdc_core.m32_e_0_2") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIRGJ1_13)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_1_0 "cmp_tdc.tdc_core.m32_e_1_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPP2P_3)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_1_1 "cmp_tdc.tdc_core.m32_e_1_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNITP2P_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_1_2 "cmp_tdc.tdc_core.m32_e_1_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI1Q2P_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_2_0 "cmp_tdc.tdc_core.m32_e_2_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI7PK1_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_2_1 "cmp_tdc.tdc_core.m32_e_2_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIPOI1_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_2_2 "cmp_tdc.tdc_core.m32_e_2_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNILP2P_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_3_0 "cmp_tdc.tdc_core.m32_e_3_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIR8J1_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_3_1 "cmp_tdc.tdc_core.m32_e_3_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIVOJ1_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_3_2 "cmp_tdc.tdc_core.m32_e_3_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI39K1_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_4_0 "cmp_tdc.tdc_core.m32_e_4_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI19K1_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_4_1 "cmp_tdc.tdc_core.m32_e_4_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI5PK1_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_4_2 "cmp_tdc.tdc_core.m32_e_4_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNINOI1_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_0_4 "cmp_tdc.tdc_core.m32_e_0_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNID7BH_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_1_4 "cmp_tdc.tdc_core.m32_e_1_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNICPI73_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i)) - )) - (net (rename cmp_tdc_tdc_core_m32_e_2_4 "cmp_tdc.tdc_core.m32_e_2_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQ5N9_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1278_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1283_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1282_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1281_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1280_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1279_m2)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1309_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1308_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1307_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1306_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1305_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1304_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1303_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1302_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1301_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1300_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1299_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1298_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1297_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1296_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1295_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1294_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1293_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1292_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1291_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1290_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1289_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1288_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1287_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1286_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1285_N_6_i)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1284_N_6_i)) - )) - (net (rename cmp_tdc_tdc_core_m64_e_s_0 "cmp_tdc.tdc_core.m64_e_s_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1T6K_10)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINEHA4_15)) - )) - (net (rename cmp_tdc_tdc_core_m64_e_s_1 "cmp_tdc.tdc_core.m64_e_s_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1OT52_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINEHA4_15)) - )) - (net (rename cmp_tdc_tdc_core_m64_e_s_2 "cmp_tdc.tdc_core.m64_e_s_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIO01D1_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINEHA4_15)) - )) - (net (rename cmp_tdc_tdc_core_m64_e_s_3_0 "cmp_tdc.tdc_core.m64_e_s_3_0") (joined + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1217_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1216_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1215_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1214_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1210_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1206_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1205_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1202_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1200_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1197_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1196_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1194_N_6_i)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_clk_periods_counter_counter_1193_N_6_i)) + )) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_0 "cmp_tdc.tdc_core.m33_e_s_2_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIPJIT1_31)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNID6HA4_30)) + )) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_1 "cmp_tdc.tdc_core.m33_e_s_2_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBSBL1_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNID6HA4_30)) + )) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_2 "cmp_tdc.tdc_core.m33_e_s_2_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIBL6K_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNID6HA4_30)) + )) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_3_0 "cmp_tdc.tdc_core.m33_e_s_2_3_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIRPN6_22)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18)) )) - (net (rename cmp_tdc_tdc_core_m64_e_s_3_1 "cmp_tdc.tdc_core.m64_e_s_3_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIVPN6_24)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16)) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_3_1 "cmp_tdc.tdc_core.m33_e_s_2_3_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINPN6_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18)) )) - (net (rename cmp_tdc_tdc_core_m64_e_s_3_2 "cmp_tdc.tdc_core.m64_e_s_3_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI3QN6_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16)) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_3_2 "cmp_tdc.tdc_core.m33_e_s_2_3_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1IN6_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18)) )) - (net (rename cmp_tdc_tdc_core_m64_e_s_4_0 "cmp_tdc.tdc_core.m64_e_s_4_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNITHN6_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16)) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_4_0 "cmp_tdc.tdc_core.m33_e_s_2_4_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI7QN6_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18)) )) - (net (rename cmp_tdc_tdc_core_m64_e_s_4_1 "cmp_tdc.tdc_core.m64_e_s_4_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI1IN6_18)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16)) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_4_1 "cmp_tdc.tdc_core.m33_e_s_2_4_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNI3QN6_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18)) )) - (net (rename cmp_tdc_tdc_core_m64_e_s_4_2 "cmp_tdc.tdc_core.m64_e_s_4_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINPN6_20)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16)) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_4_2 "cmp_tdc.tdc_core.m33_e_s_2_4_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIVPN6_24)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18)) )) - (net (rename cmp_tdc_tdc_core_m64_e_s_2_4 "cmp_tdc.tdc_core.m64_e_s_2_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNIIBE81_16)) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_2_4 "cmp_tdc.tdc_core.m33_e_s_2_2_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNISJE81_18)) (portRef I2 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o5_0)) (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o6_0)) (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_31)) @@ -115182,8 +110954,8 @@ (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_1)) )) - (net (rename cmp_tdc_tdc_core_m64_e_s_3_4 "cmp_tdc.tdc_core.m64_e_s_3_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNINEHA4_15)) + (net (rename cmp_tdc_tdc_core_m33_e_s_2_3_4 "cmp_tdc.tdc_core.m33_e_s_2_3_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_RNID6HA4_30)) (portRef I3 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o5_0)) (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_lut6_2_o6_0)) (portRef I4 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_31)) @@ -115218,414 +110990,415 @@ (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_2)) (portRef I5 (instanceRef cmp_tdc_tdc_core_one_second_block_pulse_delayer_counter_counter_lm_0_1)) )) - (net (rename cmp_tdc_tdc_core_m31_s_1_1 "cmp_tdc.tdc_core.m31_s_1_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIMORF1_24)) + (net (rename cmp_tdc_tdc_core_m33_s_3_1 "cmp_tdc.tdc_core.m33_s_3_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNINORF1_30)) (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_1_2 "cmp_tdc.tdc_core.m31_s_1_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI5RTG1_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8)) + )) + (net (rename cmp_tdc_tdc_core_m33_s_3_2 "cmp_tdc.tdc_core.m33_s_3_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIAA1K1_20)) (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_1_3 "cmp_tdc.tdc_core.m31_s_1_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIC14L1_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_1_4 "cmp_tdc.tdc_core.m31_s_1_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIM30J1_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8)) + )) + (net (rename cmp_tdc_tdc_core_m33_s_3_3 "cmp_tdc.tdc_core.m33_s_3_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIGB5M1_7)) (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_1_5 "cmp_tdc.tdc_core.m31_s_1_5") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_1_RNIHR1I1_o6)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_1_0_2 "cmp_tdc.tdc_core.m31_s_1_0_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI3OTF_30)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_1_0_4 "cmp_tdc.tdc_core.m31_s_1_0_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIIKU13_26)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8)) + )) + (net (rename cmp_tdc_tdc_core_m33_s_3_4 "cmp_tdc.tdc_core.m33_s_3_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OQF1_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8)) + )) + (net (rename cmp_tdc_tdc_core_m33_s_3_5 "cmp_tdc.tdc_core.m33_s_3_5") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNIB8VF_16)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26)) + )) + (net (rename cmp_tdc_tdc_core_m33_s_3_0_2 "cmp_tdc.tdc_core.m33_s_3_0_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNI9OUF_24)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26)) + )) + (net (rename cmp_tdc_tdc_core_m33_s_3_0_4 "cmp_tdc.tdc_core.m33_s_3_0_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_RNII1TV1_26)) (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_cry_cy_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1144_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1143_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1142_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1141_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1140_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1139_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1138_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1137_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1136_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1135_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1134_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1133_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1132_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1131_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1130_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1129_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1128_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1127_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1126_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1125_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1124_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1123_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1122_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1121_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1120_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1119_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1118_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1117_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1116_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1115_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1114_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1113_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIR06L9)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_a3_1 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_a3_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIPLN3_4)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1021_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1020_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1019_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1018_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1017_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1016_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1015_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1014_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1013_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1012_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1011_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1010_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1009_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1008_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1007_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1006_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1005_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1004_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1003_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1002_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1001_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_1000_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_999_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_998_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_997_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_996_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_995_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_994_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_993_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_992_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_991_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_roll_over_counter_counter_990_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_acam_intflag_f_edge_p_o_RNIOR3K8)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_0_a2_1 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_0_a2_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJS9I_6)) (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_a3_2 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_a3_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIVR9I_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_0_a2_2 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_0_a2_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJAHF1_12)) (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_a3_3 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_a3_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_a3_4 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_a3_4") (joined + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_0_a2_3 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_0_a2_3") (joined (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIJQGF1_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_0_a2_4 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_0_a2_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI5RIF1_24)) (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_a3_5 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_a3_5") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNILJRF_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_a3_0_2 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_a3_0_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIH3RF_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_a3_0_4 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_a3_0_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNISDBV1_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_0_a2_5 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_0_a2_5") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNID3QF_30)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_0_a2_0_2 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_0_a2_0_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNI7S71_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_time_counter_counterlde_0_0_a2_0_4 "cmp_tdc.tdc_core.interrupts_generator.time_counter.counterlde_0_0_a2_0_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNIEOHJ_2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_cry_cy_RNO_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1185_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1184_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1183_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1182_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1181_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1180_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1179_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1178_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1177_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1176_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1175_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1174_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1173_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1172_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1171_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1170_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1169_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1168_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1167_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1166_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1165_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1164_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1163_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1162_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1161_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1160_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1159_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1158_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1157_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1156_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1155_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1154_b0)) - )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_idr_write_int_0_sqmuxa_0 "cmp_tdc.cmp_tdc_eic.eic_idr_write_int_0_sqmuxa_0") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNILG531_o5_5)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_idr_write_int_e)) - )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_ier_write_int_1_sqmuxa_0_0 "cmp_tdc.cmp_tdc_eic.eic_ier_write_int_1_sqmuxa_0_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_10_lut6_2_o5)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_ier_write_int_e)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_RNICDD36_2)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1062_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1061_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1060_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1059_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1058_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1057_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1056_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1055_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1054_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1053_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1052_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1051_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1050_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1049_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1048_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1047_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1046_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1045_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1044_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1043_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1042_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1041_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1040_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1039_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1038_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1037_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1036_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1035_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1034_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1033_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1032_b0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_time_counter_counter_1031_b0)) + )) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmpZ0Z_1 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.3.vector_or.un768_tmp_1") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_3_vector_or_un768_tmp_1)) + (portRef I4 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_3_0_matrix_new_13_3)) + (portRef I2 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_1_0)) + )) + (net (rename cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxaZ0Z_2 "cmp_vic.U_Wrapped_VIC.U_wb_controller.vic_swir_wr_o_1_sqmuxa_2") (joined + (portRef LO (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_1_sqmuxa_2)) + (portRef I5 (instanceRef cmp_vic_U_Wrapped_VIC_U_wb_controller_vic_swir_wr_o_e)) )) (net m1_s_1_0 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNI6701_3)) + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIU601_1)) (portRef I3 (instanceRef rst_0_sqmuxa_i)) (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_0)) (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_7)) (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_6)) - (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) - (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) + (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_5)) + (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_4)) (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_3)) (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_2)) (portRef I5 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_1)) )) (net m1_s_1_0_2 (joined - (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNID3G_1)) + (portRef O (instanceRef cmp_tdc_clks_rsts_mgment_rst_cnt_RNIL3G_5)) (portRef I4 (instanceRef rst_0_sqmuxa_i)) (portRef I3 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_7)) (portRef I3 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_6)) @@ -115635,24 +111408,20 @@ (portRef I3 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_2)) (portRef I4 (instanceRef Global_rst_generation_rst_cnt_4_iv_i_1)) )) - (net (rename cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_0_1 "cmp_tdc.cmp_tdc_eic.eic_irq_controller_inst.loop0.irq_i_d0_9_0(1)") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a3_lut6_2_o5)) - (portRef I5 (instanceRef cmp_tdc_cmp_tdc_eic_eic_irq_controller_inst_loop0_irq_i_d0_9_1)) - )) (net (rename cmp_tdc_tdc_core_m64_e_3_0_0 "cmp_tdc.tdc_core.m64_e_3_0_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIA9BO1_5)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNIEM034)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIV3FA1_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNI0G873)) )) (net (rename cmp_tdc_tdc_core_m64_e_3_1 "cmp_tdc.tdc_core.m64_e_3_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI1DLE_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNIEM034)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNI29AO1_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNI0G873)) )) (net (rename cmp_tdc_tdc_core_m64_e_0_4 "cmp_tdc.tdc_core.m64_e_0_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIQ89O1_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNIEM034)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_RNIMBO_11)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNI0G873)) )) (net (rename cmp_tdc_tdc_core_m64_e_2_4 "cmp_tdc.tdc_core.m64_e_2_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268)) (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o5)) (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_31)) (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_30)) @@ -115687,7 +111456,7 @@ (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_1)) )) (net (rename cmp_tdc_tdc_core_m64_e_3_2 "cmp_tdc.tdc_core.m64_e_3_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNIEM034)) + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNI0G873)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_lut6_2_o5)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_31)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_30)) @@ -115721,160 +111490,440 @@ (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_2)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_lm_0_1)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_assign_dato_wb_dat_o22_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.assign_dato.wb_dat_o22_0") (joined - (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_slave_matrixs_0_slave_logic_slave_matrix_or_31_result_29_lut6_2_o5_3)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_1_sqmuxa_i)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_cr_e_3)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2Z0Z_24 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_8_2(24)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_2_24)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_8_24)) )) - (net m45_0 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_rst_RNIDOGJ_o5)) - (portRef I5 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_5)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2Z0Z_8 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_24_2(8)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_2_8)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_24_8)) )) - (net m51_1 (joined - (portRef O (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_2)) - (portRef I2 (instanceRef cmp_tdc_cmp_fmc_onewire_U_Wrapped_1W_Wrapped_1wire_owr_oen_i_RNO_1)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2Z0Z_25 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_7_2(25)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_2_25)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_7_25)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2Z0Z_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_2(2)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2Z0Z_22 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_10_2(22)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_2_22)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_10_22)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29_2(3)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2Z0Z_14 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_18_2(14)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_2_14)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_18_14)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2Z0Z_16 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_16_2(16)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_2_16)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_16_16)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2Z0Z_7 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_2(7)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2Z0Z_18 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_14_2(18)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_2_18)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_14_18)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2Z0Z_5 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_2(5)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2Z0Z_17 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_15_2(17)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_2_17)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_15_17)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2Z0Z_23 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_9_2(23)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_2_23)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_9_23)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2Z0Z_27 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_5_2(27)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_2_27)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_5_27)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2Z0Z_15 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_17_2(15)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_2_15)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_17_15)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2Z0Z_29 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_3_2(29)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_2_29)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_3_29)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2Z0Z_9 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_23_2(9)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_2_9)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_23_9)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2Z0Z_11 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_21_2(11)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_2_11)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_21_11)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2Z0Z_21 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_11_2(21)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_2_21)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_11_21)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2Z0Z_6 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_26_2(6)") (joined (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_2_6)) (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_26_6)) )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2Z0Z_28 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_4_2(28)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_2_28)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_4_28)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2Z0Z_26 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_6_2(26)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_2_26)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_6_26)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2Z0Z_30 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_2_2(30)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_2_30)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_2_30)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2Z0Z_12 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_20_2(12)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_2_12)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_20_12)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2Z0Z_19 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_13_2(19)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_2_19)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_13_19)) + )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2Z0Z_4 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_28_2(4)") (joined (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_2_4)) (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_28_4)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2Z0Z_5 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_27_2(5)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_2_5)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_27_5)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2Z0Z_10 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_22_2(10)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_2_10)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_22_10)) )) - (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2Z0Z_7 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_25_2(7)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_2_7)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_25_7)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2Z0Z_20 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_12_2(20)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_2_20)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_12_20)) )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_3 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_0_0(3)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_0_0_0_lut6_2_o6_3)) - (portRef I2 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_3)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_2Z0Z_31 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_1_2(31)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_2_31)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_1_31)) )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_ocZ0Z_0 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2Z0Z_3 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_29_2(3)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_2_3)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_29_3)) )) - (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_ocZ0Z_1 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_1)) - (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2Z0Z_13 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_19_2(13)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_2_13)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_19_13)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0Z0Z_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_0(12)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_0_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_1Z0Z_12 "cmp_tdc.tdc_core.reg_control_block.dat_out_23_i_1(12)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_23_i_1_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_12)) + )) + (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2Z0Z_2 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_30_2(2)") (joined + (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2_2)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_30_2)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_2(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_2_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_3(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_3_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_5Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_5(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_5_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_2Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_0_2(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_2_1)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_3Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_0_3(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_0_3_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_2Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_1_2(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_2_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_3Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_1_3(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_1_3_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_2Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_4_2(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_2_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_3Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_4_3(1)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_4_3_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8Z0Z_1 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_a2_0_a2_i_a2_0_8(1)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_a2_0_a2_i_a2_0_8_1)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) + )) + (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o5_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1085_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1084_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1093_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1092_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1089_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1091_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1063_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1064_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1065_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1069_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1070_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1090_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1074_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1078_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1066_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1067_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1068_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1071_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1072_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1075_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1080_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1081_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1083_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1086_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1082_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1087_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1088_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1094_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1076_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1079_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1077_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1073_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup)) + )) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_3_sqmuxa_0_107_a3_2_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.core_cmd_3_sqmuxa_0_107_a3_2_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_host_ack_RNIKB202_o6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNO_1)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0Z0Z_7 "cmp_tdc.tdc_core.reg_control_block.dat_out_29_i_0(7)") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_29_i_0_7)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_dat_out_32_7)) + )) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_0_vector_or_un3929_tmp_0 "cmp_sdb_crossbar.crossbar.matrix_logic.0.0.vector_or.un3929_tmp_0") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_6_vector_or_vector_or_vector_or_vector_or_vector_or_un2028_tmp_lut6_2_o5)) + (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_0_matrix_new_29_7)) + )) + (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp_1_1 "cmp_sdb_crossbar.crossbar.matrix_logic.0.1.vector_or.un3605_tmp_1_1") (joined + (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_old_RNITC9F1_o5_1)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un3605_tmp)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_4_vector_or_un2651_tmp)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_1_0_matrix_new_25_6)) + (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_4_0_matrix_new_13_3)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counterZ0Z_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_3)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1Z0Z_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_1_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_0)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1Z0Z_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_1_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_1_2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_1_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNI01NU1_o5_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_0 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_RNIQINQ_o5_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_1_lut6_2_o6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_2_lut6_2_o6)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2Z0Z_4 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_2_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_2_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1162_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1163_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1177_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1170_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1172_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1175_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1176_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1178_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1168_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1183_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1161_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1169_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1174_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1171_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1185_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1186_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1157_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1159_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1160_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1165_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1155_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1156_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1158_m2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1184_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1164_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1166_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1167_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1173_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1179_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1181_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1182_N_6_i)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1180_N_6_i)) + )) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counterZ0Z_3 "cmp_tdc.tdc_core.start_retrigger_block.retrig_period_counter.decr_counting.un6_counter_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_decr_counting_un6_counter_3)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_r_e)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_cry_cy_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1162_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1163_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1177_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1170_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1172_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1175_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1176_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1178_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1168_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1183_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1161_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1169_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1174_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1171_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1185_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1186_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1157_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1159_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1160_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1165_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1155_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1156_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1158_m2)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1184_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1164_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1166_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1167_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1173_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1179_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1181_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1182_N_6_i)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_1180_N_6_i)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_ocZ0Z_2 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_2") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_2)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) )) (net (rename cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0Z0Z_4 "cmp_tdc.tdc_core.TDCboard_leds.tdc_status_led_blink_counter.counter_is_zero_oc_0_4") (joined (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_0_4)) (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_0_4 "cmp_tdc.tdc_core.m30_e_s_0_0_4") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNI4CB31_25)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2)) - )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_1_0_0 "cmp_tdc.tdc_core.m30_e_s_0_1_0_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGUOB_19)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18)) - )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_1_0_1 "cmp_tdc.tdc_core.m30_e_s_0_1_0_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNID2PB_21)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18)) - )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_1_0_2 "cmp_tdc.tdc_core.m30_e_s_0_1_0_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIH2PB_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18)) - )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_2_0 "cmp_tdc.tdc_core.m30_e_s_0_2_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIDQOB_14)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18)) + (net (rename cmp_tdc_tdc_core_m32_e_s_0_0 "cmp_tdc.tdc_core.m32_e_s_0_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIGNA31_14)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_2_1 "cmp_tdc.tdc_core.m30_e_s_0_2_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIHQOB_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18)) + (net (rename cmp_tdc_tdc_core_m32_e_s_1 "cmp_tdc.tdc_core.m32_e_s_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIE3B31_19)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc)) )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_2_3 "cmp_tdc.tdc_core.m30_e_s_0_2_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIM5P02_18)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o5_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o6_1)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_16)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_22)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_24)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_26)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_27)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_28)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_29)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_30)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) + (net (rename cmp_tdc_tdc_core_m32_e_s_2_3 "cmp_tdc.tdc_core.m32_e_s_2_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNIAQP02_25)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o5_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o6_16)) (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_4)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_10)) (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_17)) (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_23)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_17)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_10)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_11)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_15)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_12)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_13)) - )) - (net (rename cmp_tdc_tdc_core_m30_e_s_0_3 "cmp_tdc.tdc_core.m30_e_s_0_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNISP4R2)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o5_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_lut6_2_o6_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_16)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_22)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_24)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_25)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_26)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_27)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_28)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_29)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_30)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) + )) + (net (rename cmp_tdc_tdc_core_m32_e_s_3 "cmp_tdc.tdc_core.m32_e_s_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_is_zero_oc_25_RNI9O0B2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o5_16)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_lut6_2_o6_16)) (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_2)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_13)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_11)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_10)) (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_19)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_17)) (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_RNO_23)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_17)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_10)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_11)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_15)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_12)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_13)) - )) - (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_0 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.c_state_ns_0_a4_1(0)") (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_a4_1_lut6_2_o6_0)) - (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_c_state_ns_0_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_24)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_8)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_7)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_5)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_26)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_TDCboard_leds_tdc_status_led_blink_counter_counter_0_1_14)) + )) + (net (rename cmp_tdc_m55_s_0 "cmp_tdc.m55_s_0") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_dSCL_RNIQ81J1_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_slave_wait_RNI1IS73)) + (portRef I3 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_gen_clken_op_eq_un5_rst_0_RNI5RBT2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI2C933_0)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI4C933_1)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6C933_2)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8C933_3)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIAC933_4)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNICC933_5)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIEC933_6)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIGC933_7)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIIC933_8)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIKC933_9)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI43QA3_10)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI6BQA3_11)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNI8JQA3_12)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIARQA3_13)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_RNIC3RA3_14)) + (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cnt_s_RNO_15)) )) (net (rename cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0Z0Z_1 "cmp_sdb_crossbar.crossbar.master_matrixs.0.master_logic.master_matrix_or.31.result_31_0(1)") (joined (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_31_result_31_0_1)) @@ -115892,263 +111941,307 @@ (portRef O (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0_2_lut6_2_o6_0)) (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_master_matrixs_0_master_logic_master_matrix_or_result_0)) )) - (net m49_0_1_0 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_core_cmd_RNIU46H_o5_2)) - (portRef I5 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_3)) - )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counterZ0Z_1 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup)) + (net (rename cmp_tdc_tdc_core_m31_s_1_0 "cmp_tdc.tdc_core.m31_s_1_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIEV2D1_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) )) - (net (rename cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2Z0Z_0 "cmp_tdc.tdc_core.interrupts_generator.millisec_counter.decr_counting.un6_counter_2_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un6_counter_2_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1197_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1201_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1202_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1205_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1186_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1188_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1192_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1207_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1208_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1209_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1206_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1199_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1191_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1200_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1195_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1196_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1204_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1194_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1187_m2)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1203_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1212_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1198_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1211_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1189_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1190_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1193_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1215_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1213_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1216_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1217_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1210_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_1214_N_6_i)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_decr_counting_un7_counterdup)) + (net (rename cmp_tdc_tdc_core_m31_s_1_1_0 "cmp_tdc.tdc_core.m31_s_1_1_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIQTRF_12)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o5_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) )) - (net (rename cmp_tdc_tdc_core_m31_s_2_0 "cmp_tdc.tdc_core.m31_s_2_0") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIJV2D1_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_2_1_1 "cmp_tdc.tdc_core.m31_s_2_1_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIO6UM_10)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o5_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_2_1_2 "cmp_tdc.tdc_core.m31_s_2_1_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNITV0F_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_2_1_3 "cmp_tdc.tdc_core.m31_s_2_1_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIOKQ61_o5_20)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1)) + (net (rename cmp_tdc_tdc_core_m31_s_1_1_2 "cmp_tdc.tdc_core.m31_s_1_1_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI201F_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) + )) + (net (rename cmp_tdc_tdc_core_m31_s_1_1_3 "cmp_tdc.tdc_core.m31_s_1_1_3") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNII4Q61_o5_6)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) + )) + (net (rename cmp_tdc_tdc_core_m31_s_1_1_4 "cmp_tdc.tdc_core.m31_s_1_1_4") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNI35RN_20)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_cry_cy_RNO_0)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_989_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_988_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_987_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_986_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_985_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_984_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_983_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_982_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_981_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_980_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_979_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_978_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_977_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_976_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_975_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_974_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_973_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_972_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_971_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_970_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_969_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_968_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_965_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_964_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_962_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_961_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_960_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_959_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_958_m1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_966_b0)) (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1)) - )) - (net (rename cmp_tdc_tdc_core_m31_s_2_2 "cmp_tdc.tdc_core.m31_s_2_2") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_RNIGKT42_16)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1102_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_period_counter_counter_is_zero_o_RNIHLHG4)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1096_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1097_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1100_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1098_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1099_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1103_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1105_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1095_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1112_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1086_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1101_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1104_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1106_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1089_b0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1085_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1093_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1094_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1082_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1087_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1088_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1109_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1107_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1108_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1111_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1092_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1110_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1084_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1091_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_retrig_nb_counter_counter_1083_m1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_24_RNIFFS25)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regcZ0Z_0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_0") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + )) + (net (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1_0_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) )) (net (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31Z0Z_0 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un6_un_current_retrig_from_roll_over)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o6)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_23)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_25)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_26)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12_RNO)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_20)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_17)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_19)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_24)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_17)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_2_i_o2_1_2 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_2_i_o2_1_2") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_0_vector_or_un102_mbusy_4_i_o2_lut6_2_o5)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_stb_t_e)) + (net (rename cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31Z0Z_2 "cmp_tdc.tdc_core.start_retrigger_block.op_lt.un5_roll_over_incr_recent_olto31_2") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_2)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_start_retrigger_block_op_lt_un5_roll_over_incr_recent_olto31_lut6_2_o6)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_27)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_28)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_23)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_30)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_21)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_29)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_25)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_26)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_12)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_22)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_15)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_12_RNO)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_31)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_20)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_4)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_17)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_0_4_RNO)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_10)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_11)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_13)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_14)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_19)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_5)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_7)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_3)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_18)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un9_un_current_retrig_from_roll_over_s_8_RNIV5UR1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_1)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_6)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_8)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_9)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un_current_retrig_from_roll_over_24)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_2)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_15)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_16)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_data_formatting_block_un1_un_previous_roll_over_nb_axb_17)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regcZ0Z_0 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + (net (rename cmp_tdc_tdc_core_m35_s_3_i_1 "cmp_tdc.tdc_core.m35_s_3_i_1") (joined + (portRef LO (instanceRef cmp_tdc_tdc_core_reg_control_block_acam_config_7_RNIB2VH1_16)) + (portRef I2 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_6_16)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_5_16)) )) - (net (rename cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_1 "cmp_tdc.tdc_core.reg_control_block.clear_ctrl_regc_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_3_lut6_2_o5)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmpZ0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.1.vector_or.un1403_tmp_2") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_1_vector_or_un1403_tmp_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_rom_slave_o_ackc_1_lut6_2_o5)) + (portRef I1 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2Z0Z_1 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_1") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_1)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_2)) + (net (rename cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmpZ0Z_2 "cmp_tdc.cmp_sdb_crossbar.crossbar.matrix_logic.0.2.vector_or.un1085_tmp_2") (joined + (portRef O (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2)) + (portRef I3 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_0_2_vector_or_un1085_tmp_2_RNI0QGB1_o5)) + (portRef I0 (instanceRef cmp_tdc_cmp_sdb_crossbar_crossbar_matrix_logic_6_selected_2_0)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2Z0Z_2 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_2") (joined - (portRef LO (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_2_2)) - (portRef I5 (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3)) + (net (rename cmp_tdc_tdc_core_m31_s_2_0 "cmp_tdc.tdc_core.m31_s_2_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNICCA26_o6_1)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) )) - (net (rename gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2Z0Z_3 "gnum_interface_block.cmp_wbmaster32.un1_wishbone_current_state_7_0_0_o2_3") (joined - (portRef O (instanceRef gnum_interface_block_cmp_wbmaster32_un1_wishbone_current_state_7_0_0_o2_3)) - (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o5)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wishbone_current_state_ns_1_0__N_1650_i_lut6_2_o6)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) - (portRef I4 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0Z0Z_10 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_0(10)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_10)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_10)) )) - (net (rename cmp_tdc_tdc_core_m31_s_3_1 "cmp_tdc.tdc_core.m31_s_3_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_RNI1P1V_0)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1080_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1079_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1078_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1077_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1076_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1075_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1074_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1073_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1072_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1071_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1070_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1069_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1068_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1067_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1066_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1065_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1064_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1063_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1062_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1061_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1060_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1059_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1058_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1057_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1056_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1055_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1054_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1053_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_active_counter_counter_1052_m1)) - (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNIBBM66)) - (portRef I2 (instanceRef cmp_tdc_tdc_core_acam_timing_block_start_trig_received_RNO)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_14 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_0(14)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a2_0_lut6_2_o5_1)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_14)) )) - (net (rename cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0Z0Z_0 "cmp_tdc.tdc_core.data_engine_block.engine_st_ns_0_0_0(0)") (joined - (portRef LO (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_ns_0_0_0_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_engine_block_engine_st_srsts_10)) + (net (rename cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_a3_0_0_1 "cmp_tdc.mezzanine_I2C_master_EEPROM.U_Wrapped_I2C.Wrapped_I2C.byte_ctrl.bit_ctrl.c_state_ns_a3_0_0(1)") (joined + (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_cmd_stop_0_sqmuxa_0_o2_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_ns_1)) )) - (net m27_0_1 (joined - (portRef O (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_0_11)) - (portRef I4 (instanceRef cmp_tdc_mezzanine_I2C_master_EEPROM_U_Wrapped_I2C_Wrapped_I2C_byte_ctrl_bit_ctrl_c_state_RNO_11)) + (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10Z0Z_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto10_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10_1)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto10)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0Z0Z_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2_0(0)") (joined - (portRef O (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_0)) - (portRef I3 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0_0 "cmp_tdc.tdc_core.interrupts_generator.irq_st_ns_0_i_i_0(0)") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_stc_2_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_ns_0_i_i_0)) )) - (net (rename cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1Z0Z_0 "cmp_sdb_crossbar.crossbar.matrix_logic.7.selected_2_0_1(0)") (joined - (portRef LO (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0_1_0)) - (portRef I5 (instanceRef cmp_sdb_crossbar_crossbar_matrix_logic_7_selected_2_0)) + (net m63_s_2_1_0 (joined + (portRef O (instanceRef cmp_carrier_csr_ack_sreg_RNI729T4_0)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o5)) + (portRef I2 (instanceRef gnum_interface_block_cmp_wbmaster32_cmp_fifo_to_wb_gen_fifo_64bit_cmp_fifo_64x512_RNIVP6R7_o6)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_from_wb_fifo_wr_e_lut6_2)) + (portRef I3 (instanceRef gnum_interface_block_cmp_wbmaster32_wb_cyc_t_e)) + )) + (net (rename cmp_tdc_tdc_core_m40_0 "cmp_tdc.tdc_core.m40_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_m40_0_lut6_2_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o5)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_o6)) + (portRef I0 (instanceRef cmp_tdc_tdc_core_reg_control_block_clear_ctrl_regc_6_lut6_2_RNIF81H8_0)) )) (net (rename cmp_tdc_tdc_core_m64_e_1_0 "cmp_tdc.tdc_core.m64_e_1_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_1_0)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68)) + (portRef I5 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268)) (portRef I1 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc)) )) (net (rename cmp_tdc_tdc_core_m64_e_2_0 "cmp_tdc.tdc_core.m64_e_2_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNIEM034)) + (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_2_0_RNI0G873)) (portRef I3 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc)) )) (net (rename cmp_tdc_tdc_core_m64_e_0_0_0 "cmp_tdc.tdc_core.m64_e_0_0_0") (joined (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIVI68)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_0_RNIK268)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) )) (net (rename cmp_tdc_tdc_core_m64_e_0_1 "cmp_tdc.tdc_core.m64_e_0_1") (joined @@ -116171,494 +112264,269 @@ (portRef O (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc_0_4)) (portRef I4 (instanceRef cmp_tdc_tdc_core_acam_timing_block_window_delayer_counter_counter_is_zero_oc)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1Z0Z_0 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_1_0") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_0)) - (portRef I4 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3)) + (net (rename cmp_tdc_tdc_core_interrupts_generator_irq_stc_1 "cmp_tdc.tdc_core.interrupts_generator.irq_stc_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_s2_0_a2_0_a2_0_a2_lut6_2_o5)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_interrupts_generator_irq_st_RNO_1)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1Z0Z_1 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_1_1") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_1)) - (portRef I5 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3)) + (net (rename cmp_tdc_tdc_core_m47_e_s_0_0 "cmp_tdc.tdc_core.m47_e_s_0_0") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_RNIV6HM1_o6_0)) + (portRef I1 (instanceRef cmp_tdc_tdc_core_interrupts_generator_millisec_counter_counter_is_zero_o_RNO)) )) - (net (rename cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1Z0Z_3 "cmp_tdc.tdc_core.data_formatting_block.coarse_time_intermed_calcul.op_gt.un5lto12_1_3") (joined - (portRef O (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_op_gt_un5lto12_1_3)) - (portRef I3 (instanceRef cmp_tdc_tdc_core_data_formatting_block_coarse_time_intermed_calcul_un1_un_current_retrig_from_roll_over_5)) + (net (rename cmp_tdc_tdc_core_m159_s_0_0_1 "cmp_tdc.tdc_core.m159_s_0_0_1") (joined + (portRef O (instanceRef cmp_tdc_tdc_core_reg_control_block_tdc_config_wb_dat_o_RNO_8_10)) + (portRef I4 (instanceRef cmp_tdc_tdc_core_re