From 5e2f8235773cb42fb5c13814417b6d7edde3d168 Mon Sep 17 00:00:00 2001
From: egousiou <egousiou@85dfdc96-de2c-444c-878d-45b388be74a9>
Date: Thu, 10 Oct 2013 13:30:45 +0000
Subject: [PATCH] minor change on svec tdc_core_pkg.vhd

git-svn-id: http://svn.ohwr.org/fmc-tdc@134 85dfdc96-de2c-444c-878d-45b388be74a9
---
 hdl/top/svec/tdc_core_pkg.vhd | 1 -
 1 file changed, 1 deletion(-)

diff --git a/hdl/top/svec/tdc_core_pkg.vhd b/hdl/top/svec/tdc_core_pkg.vhd
index 9212f28..1f71f98 100644
--- a/hdl/top/svec/tdc_core_pkg.vhd
+++ b/hdl/top/svec/tdc_core_pkg.vhd
@@ -681,7 +681,6 @@ package tdc_core_pkg is
        retrig_nb_offset_i      : in std_logic_vector(31 downto 0);
        one_hz_p_i              : in std_logic;
       ----------------------------------------------------------------------
-       tdc_led_5_o             : out std_logic;
        tstamp_wr_wb_adr_o      : out std_logic_vector(7 downto 0);
        tstamp_wr_wb_cyc_o      : out std_logic;
        tstamp_wr_dat_o         : out std_logic_vector(127 downto 0);
-- 
GitLab