Commit e661086d authored by Dave Newbold's avatar Dave Newbold

Removing cfg pins

parent 42803a4b
......@@ -14,7 +14,7 @@ use work.ipbus.ALL;
entity top is port(
sysclk: in std_logic;
leds: out std_logic_vector(3 downto 0); -- status LEDs
cfg: in std_logic_vector(3 downto 0); -- switches
-- cfg: in std_logic_vector(3 downto 0); -- switches
rgmii_txd: out std_logic_vector(3 downto 0);
rgmii_tx_ctl: out std_logic;
rgmii_txc: out std_logic;
......@@ -92,8 +92,8 @@ begin
leds <= not ('0' & userled & inf_leds);
phy_rstn <= not phy_rst_e;
mac_addr <= X"020ddba1151" & not cfg; -- Careful here, arbitrary addresses do not always work
ip_addr <= X"c0a8c81" & not cfg; -- 192.168.200.16+n
mac_addr <= X"020ddba1ebc7"; -- Careful here, arbitrary addresses do not always work
ip_addr <= X"c0a8ebc7"; -- 192.168.235.199
-- ipbus slaves live in the entity below, and can expose top-level ports
-- The ipbus fabric is instantiated within.
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment