Commit d2a7daac authored by Dave Newbold's avatar Dave Newbold

Fix

parent 47520a04
......@@ -60,7 +60,8 @@ architecture rtl of sc_chan_buf is
begin
zs_first_addr <= shift_left(unsigned(std_logic_vector'(BUF_RADIX - 1 downto 4 => '0') & nzs_blks), BLK_RADIX) + ZS_DEL;
-- zs_first_addr <= shift_left(unsigned(std_logic_vector'(BUF_RADIX - 1 downto 4 => '0') & nzs_blks), BLK_RADIX) + ZS_DEL;
zs_first_addr <= unsigned(std_logic_vector'(BUF_RADIX - 1 downto 4 => '0') & nzs_blks);
-- NZS / ZS buffer
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment