Commit bb42abe9 authored by Dave Newbold's avatar Dave Newbold

Adding empty block suppression

parent e18cfc17
......@@ -6,6 +6,7 @@
<node id="invert" mask="0x4"/>
<node id="swap" mask="0x8"/>
<node id="mode" mask="0x10"/>
<node id="suppress" mask="0x20"/>
<node id="src" mask="0xc0"/>
</node>
<node id="stat" address="0x1">
......
......@@ -64,7 +64,7 @@ architecture rtl of sc_chan is
signal slip_l, slip_h, cap, inc: std_logic;
signal act_slip: unsigned(7 downto 0);
signal cntout: std_logic_vector(4 downto 0);
signal ctrl_en_sync, ctrl_en_buf, ctrl_invert, ctrl_swap: std_logic;
signal ctrl_en_sync, ctrl_en_buf, ctrl_invert, ctrl_swap, ctrl_suppress: std_logic;
signal ctrl_mode: std_logic;
signal ctrl_src: std_logic_vector(1 downto 0);
signal cap_full, buf_full, dr_full, dr_warn: std_logic;
......@@ -120,6 +120,7 @@ begin
ctrl_invert <= ctrl(0)(2);
ctrl_swap <= ctrl(0)(3);
ctrl_mode <= ctrl(0)(4);
ctrl_suppress <= ctrl(0)(5);
ctrl_src <= ctrl(0)(7 downto 6);
slip_l <= sync_ctrl(0) and ctrl_en_sync; -- CDC
......@@ -287,6 +288,7 @@ begin
zs_en => zs_en_i,
buf_full => buf_full,
dr_en => dr_en_i,
suppress => ctrl_suppress,
keep => keep,
kack => kack,
q => dr_d,
......
......@@ -35,6 +35,7 @@ entity sc_chan_buf is
zs_en: in std_logic; -- enable zs buffer; clk40 dom
buf_full: out std_logic; -- buffer err flag; clk40 dom
dr_en: in std_logic;
suppress: in std_logic;
keep: in std_logic; -- block transfer cmd; clk40 dom
kack: out std_logic;
q: out std_logic_vector(31 downto 0); -- output to derand; clk40 dom
......@@ -212,7 +213,7 @@ begin
-- Readout to derand
go <= blkend and dr_en;
kack <= go and keep;
kack <= go and keep and not (q_zs_b(15) and q_zs_b(14) and suppress);
process(clk40)
begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment