Commit b25b9f8c authored by Dave Newbold's avatar Dave Newbold

Adding trigger self-cancel

parent 83a4db91
......@@ -40,7 +40,7 @@ architecture rtl of sc_trig_gen_or is
begin
mark_del <= mark_del(DELAY - 2 downto 0) & mark when rising_edge(clk);
v <= (v or (or_reduce(chan_trig(TBIT)) and mark_del(DELAY - 1))) and not (ack or not en) when rising_edge(clk);
valid <= v;
v <= ((v and not mark_del(DELAY - 1)) or (or_reduce(chan_trig(TBIT)) and mark_del(DELAY - 1))) and not (ack or not en) when rising_edge(clk);
valid <= v and not ack;
end rtl;
......@@ -55,7 +55,7 @@ begin
rtrig <= ((not mode(0) and not or_reduce(rand(mask'range) and mask)) or
(mode(0) and not or_reduce(sctr(BLK_RADIX + mask'left downto BLK_RADIX) and mask))) and mode(1);
v <= (v or ((rtrig or force_c) and mark)) and not (ack or not en) when rising_edge(clk);
valid <= v;
v <= ((v and not mark_del(DELAY - 1)) or ((rtrig or force_c) and mark)) and not (ack or not en) when rising_edge(clk);
valid <= v and not ack;
end rtl;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment