Commit a97b0fd0 authored by Dave Newbold's avatar Dave Newbold

Adjusting timestamp counters

parent 19de6702
......@@ -72,7 +72,7 @@ begin
begin
if rising_edge(clk40) then
if trig_en = '0' then
bctr <= to_unsigned(1, bctr'length);
bctr <= (others => '0');
elsif mark = '1' then
bctr <= bctr + 1;
end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment