Commit a6bbab04 authored by Dave Newbold's avatar Dave Newbold

Cleaning up random triggers

parent af9b4532
......@@ -13,7 +13,7 @@ use work.top_decl.all;
entity sc_trig_gen is
generic(
DELAY: positive := 1
DELAY: natural := 0
);
port(
clk: in std_logic;
......
......@@ -15,7 +15,7 @@ use work.top_decl.all;
entity sc_trig_gen_or is
generic(
TBIT: natural := 0;
DELAY: positive := 1
DELAY: natural := 0
);
port(
clk: in std_logic;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment