Commit 9a87e6c4 authored by Dave Newbold's avatar Dave Newbold

Syntax fix

parent bb9aa1b4
......@@ -74,7 +74,7 @@ begin
port map(
clk => clk40,
rst => rst40,
clr => mark,
en => en,
d => dd,
cthresh => ctrl(1)(24 downto 16),
wsize => ctrl(1)(31 downto 28),
......
......@@ -15,7 +15,6 @@ entity sc_ctrig_npeaks is
port(
clk: in std_logic;
rst: in std_logic;
clr: in std_logic;
en: in std_logic;
d: in std_logic_vector(VAL_WIDTH - 1 downto 0);
cthresh: in std_logic_vector(8 downto 0);
......
......@@ -15,7 +15,6 @@ entity sc_ctrig_tot is
port(
clk: in std_logic;
rst: in std_logic;
clr: in std_logic;
en: in std_logic;
d: in std_logic_vector(VAL_WIDTH - 1 downto 0);
cthresh: in std_logic_vector(8 downto 0);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment