Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
E
euro-adc-65m-14b-40cha-gw
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Commits
Open sidebar
eurocard
euro-adc-65m-14b-40cha
euro-adc-65m-14b-40cha-gw
Commits
79a80152
Commit
79a80152
authored
Apr 06, 2017
by
Dave Newbold
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
First cleanup of dep files for ipbb
parent
5a48690b
Expand all
Hide whitespace changes
Inline
Side-by-side
Showing
182 changed files
with
15124 additions
and
2 deletions
+15124
-2
README.md
README.md
+2
-2
lib_mappings.tcl
boards/pc051a/base_fw/sim/firmware/cfg/lib_mappings.tcl
+9
-0
pc051a_infra_sim.dep
boards/pc051a/base_fw/sim/firmware/cfg/pc051a_infra_sim.dep
+5
-0
pc051a_sim.dep
boards/pc051a/base_fw/sim/firmware/cfg/pc051a_sim.dep
+10
-0
pc051a_infra_sim.vhd
boards/pc051a/base_fw/sim/firmware/hdl/pc051a_infra_sim.vhd
+99
-0
top_pc051a_sim.vhd
boards/pc051a/base_fw/sim/firmware/hdl/top_pc051a_sim.vhd
+53
-0
pc051a.dep
boards/pc051a/base_fw/synth/firmware/cfg/pc051a.dep
+11
-0
pc051a_infra.dep
boards/pc051a/base_fw/synth/firmware/cfg/pc051a_infra.dep
+5
-0
settings_v7.tcl
boards/pc051a/base_fw/synth/firmware/cfg/settings_v7.tcl
+5
-0
pc051a_infra.vhd
boards/pc051a/base_fw/synth/firmware/hdl/pc051a_infra.vhd
+138
-0
top_pc051a.vhd
boards/pc051a/base_fw/synth/firmware/hdl/top_pc051a.vhd
+150
-0
pc051a.tcl
boards/pc051a/base_fw/synth/firmware/ucf/pc051a.tcl
+162
-0
lib_mappings.tcl
boards/pc051b/base_fw/sim/firmware/cfg/lib_mappings.tcl
+9
-0
pc051a_infra_sim.dep
boards/pc051b/base_fw/sim/firmware/cfg/pc051a_infra_sim.dep
+5
-0
pc051a_sim.dep
boards/pc051b/base_fw/sim/firmware/cfg/pc051a_sim.dep
+10
-0
pc051a_infra_sim.vhd
boards/pc051b/base_fw/sim/firmware/hdl/pc051a_infra_sim.vhd
+99
-0
top_pc051a_sim.vhd
boards/pc051b/base_fw/sim/firmware/hdl/top_pc051a_sim.vhd
+53
-0
settings_v7.tcl
boards/pc051b/base_fw/synth/firmware/cfg/settings_v7.tcl
+5
-0
solid_64chan.dep
boards/pc051b/base_fw/synth/firmware/cfg/solid_64chan.dep
+11
-0
solid_64chan_infra.dep
.../pc051b/base_fw/synth/firmware/cfg/solid_64chan_infra.dep
+5
-0
pc051b_infra.vhd
boards/pc051b/base_fw/synth/firmware/hdl/pc051b_infra.vhd
+138
-0
top_pc051b.vhd
boards/pc051b/base_fw/synth/firmware/hdl/top_pc051b.vhd
+116
-0
pc051b.tcl
boards/pc051b/base_fw/synth/firmware/ucf/pc051b.tcl
+245
-0
freq_ctr.xml
components/mp7_ttc/addr_table/freq_ctr.xml
+10
-0
mp7_ttc.xml
components/mp7_ttc/addr_table/mp7_ttc.xml
+65
-0
state_history.xml
components/mp7_ttc/addr_table/state_history.xml
+17
-0
mp7_ttc.dep
components/mp7_ttc/firmware/cfg/mp7_ttc.dep
+2
-0
mp7_ttc_common.dep
components/mp7_ttc/firmware/cfg/mp7_ttc_common.dep
+12
-0
mp7_ttc_sim.dep
components/mp7_ttc/firmware/cfg/mp7_ttc_sim.dep
+2
-0
state_history.dep
components/mp7_ttc/firmware/cfg/state_history.dep
+10
-0
ttc_history_fifo.vhd
components/mp7_ttc/firmware/cgn/ttc_history_fifo.vhd
+286
-0
ttc_history_fifo.xco
components/mp7_ttc/firmware/cgn/ttc_history_fifo.xco
+213
-0
mp7_ttc.txt
components/mp7_ttc/firmware/doc/mp7_ttc.txt
+128
-0
bunch_ctr.vhd
components/mp7_ttc/firmware/hdl/bunch_ctr.vhd
+130
-0
freq_ctr.vhd
components/mp7_ttc/firmware/hdl/freq_ctr.vhd
+111
-0
freq_ctr_div.vhd
components/mp7_ttc/firmware/hdl/freq_ctr_div.vhd
+62
-0
ipbus_decode_mp7_ttc.vhd
components/mp7_ttc/firmware/hdl/ipbus_decode_mp7_ttc.vhd
+63
-0
ipbus_decode_state_history.vhd
...nents/mp7_ttc/firmware/hdl/ipbus_decode_state_history.vhd
+51
-0
l1a_gen.vhd
components/mp7_ttc/firmware/hdl/l1a_gen.vhd
+133
-0
mp7_ttc.vhd
components/mp7_ttc/firmware/hdl/mp7_ttc.vhd
+334
-0
mp7_ttc_decl.vhd
components/mp7_ttc/firmware/hdl/mp7_ttc_decl.vhd
+81
-0
rng_n1024_r32_t5_k32_s1c48.vhd
...nents/mp7_ttc/firmware/hdl/rng_n1024_r32_t5_k32_s1c48.vhd
+171
-0
rng_wrapper.vhd
components/mp7_ttc/firmware/hdl/rng_wrapper.vhd
+60
-0
state_history.vhd
components/mp7_ttc/firmware/hdl/state_history.vhd
+146
-0
tmt_sync.vhd
components/mp7_ttc/firmware/hdl/tmt_sync.vhd
+68
-0
ttc_bc0_check.vhd
components/mp7_ttc/firmware/hdl/ttc_bc0_check.vhd
+83
-0
ttc_clocks.vhd
components/mp7_ttc/firmware/hdl/ttc_clocks.vhd
+237
-0
ttc_clocks_v6.vhd
components/mp7_ttc/firmware/hdl/ttc_clocks_v6.vhd
+159
-0
ttc_cmd.vhd
components/mp7_ttc/firmware/hdl/ttc_cmd.vhd
+126
-0
ttc_cmd_ctrs.vhd
components/mp7_ttc/firmware/hdl/ttc_cmd_ctrs.vhd
+77
-0
ttc_ctrs.vhd
components/mp7_ttc/firmware/hdl/ttc_ctrs.vhd
+87
-0
ttc_decoder.vhd
components/mp7_ttc/firmware/hdl/ttc_decoder.vhd
+139
-0
ttc_del.vhd
components/mp7_ttc/firmware/hdl/ttc_del.vhd
+57
-0
ttc_del_v6.vhd
components/mp7_ttc/firmware/hdl/ttc_del_v6.vhd
+58
-0
ttc_history.vhd
components/mp7_ttc/firmware/hdl/ttc_history.vhd
+97
-0
ttc_history_new.vhd
components/mp7_ttc/firmware/hdl/ttc_history_new.vhd
+62
-0
mp7_ttc_sim.vhd
components/mp7_ttc/firmware/sim_hdl/mp7_ttc_sim.vhd
+323
-0
ttc_clocks_sim.vhd
components/mp7_ttc/firmware/sim_hdl/ttc_clocks_sim.vhd
+50
-0
opencores_i2c.xml
components/opencores_i2c/addr_table/opencores_i2c.xml
+7
-0
opencores_i2c.dep
components/opencores_i2c/firmware/cfg/opencores_i2c.dep
+3
-0
i2c_specs.pdf
components/opencores_i2c/firmware/doc/i2c_specs.pdf
+0
-0
i2c_master_bit_ctrl.vhd
...onents/opencores_i2c/firmware/hdl/i2c_master_bit_ctrl.vhd
+492
-0
i2c_master_byte_ctrl.vhd
...nents/opencores_i2c/firmware/hdl/i2c_master_byte_ctrl.vhd
+286
-0
i2c_master_registers.vhd
...nents/opencores_i2c/firmware/hdl/i2c_master_registers.vhd
+196
-0
i2c_master_top.vhd
components/opencores_i2c/firmware/hdl/i2c_master_top.vhd
+344
-0
ipbus_i2c_master.vhd
components/opencores_i2c/firmware/hdl/ipbus_i2c_master.vhd
+56
-0
opencores_spi.xml
components/opencores_spi/addr_table/opencores_spi.xml
+9
-0
spi.pdf
components/opencores_spi/doc/spi.pdf
+0
-0
opencores_spi.dep
components/opencores_spi/firmware/cfg/opencores_spi.dep
+3
-0
ipbus_spi.vhd
components/opencores_spi/firmware/hdl/ipbus_spi.vhd
+88
-0
spi_clgen.v
components/opencores_spi/firmware/hdl/spi_clgen.v
+108
-0
spi_defines.v
components/opencores_spi/firmware/hdl/spi_defines.v
+158
-0
spi_shift.v
components/opencores_spi/firmware/hdl/spi_shift.v
+237
-0
spi_top.v
components/opencores_spi/firmware/hdl/spi_top.v
+286
-0
timescale.v
components/opencores_spi/firmware/hdl/timescale.v
+1
-0
sc_chan.xml
components/solid/addr_table/sc_chan.xml
+38
-0
sc_chan_standalone.xml
components/solid/addr_table/sc_chan_standalone.xml
+15
-0
sc_io.xml
components/solid/addr_table/sc_io.xml
+19
-0
sc_io_64chan.xml
components/solid/addr_table/sc_io_64chan.xml
+13
-0
sc_roc.xml
components/solid/addr_table/sc_roc.xml
+18
-0
sc_seq.xml
components/solid/addr_table/sc_seq.xml
+14
-0
sc_timing.xml
components/solid/addr_table/sc_timing.xml
+27
-0
sc_trig.xml
components/solid/addr_table/sc_trig.xml
+23
-0
sc_chan.dep
components/solid/firmware/cfg/sc_chan.dep
+7
-0
sc_chan_standalone.dep
components/solid/firmware/cfg/sc_chan_standalone.dep
+9
-0
sc_io.dep
components/solid/firmware/cfg/sc_io.dep
+10
-0
sc_io_64chan.dep
components/solid/firmware/cfg/sc_io_64chan.dep
+10
-0
sc_roc.dep
components/solid/firmware/cfg/sc_roc.dep
+6
-0
sc_seq.dep
components/solid/firmware/cfg/sc_seq.dep
+6
-0
sc_timing.dep
components/solid/firmware/cfg/sc_timing.dep
+6
-0
sc_timing_sim.dep
components/solid/firmware/cfg/sc_timing_sim.dep
+6
-0
sc_trig.dep
components/solid/firmware/cfg/sc_trig.dep
+8
-0
sc_trig_link.dep
components/solid/firmware/cfg/sc_trig_link.dep
+1
-0
ipbus_decode_sc_chan.vhd
components/solid/firmware/hdl/ipbus_decode_sc_chan.vhd
+57
-0
ipbus_decode_sc_chan_standalone.vhd
...ts/solid/firmware/hdl/ipbus_decode_sc_chan_standalone.vhd
+51
-0
ipbus_decode_sc_io.vhd
components/solid/firmware/hdl/ipbus_decode_sc_io.vhd
+60
-0
ipbus_decode_sc_io_64chan.vhd
components/solid/firmware/hdl/ipbus_decode_sc_io_64chan.vhd
+57
-0
ipbus_decode_sc_roc.vhd
components/solid/firmware/hdl/ipbus_decode_sc_roc.vhd
+54
-0
ipbus_decode_sc_seq.vhd
components/solid/firmware/hdl/ipbus_decode_sc_seq.vhd
+54
-0
ipbus_decode_sc_trig.vhd
components/solid/firmware/hdl/ipbus_decode_sc_trig.vhd
+57
-0
occ_histo.vhd
components/solid/firmware/hdl/occ_histo.vhd
+67
-0
occ_histo_unscaled.vhd
components/solid/firmware/hdl/occ_histo_unscaled.vhd
+63
-0
peakcount_directcomparison.vhd
components/solid/firmware/hdl/peakcount_directcomparison.vhd
+87
-0
sc_cap_fifo_16.vhd
components/solid/firmware/hdl/sc_cap_fifo_16.vhd
+74
-0
sc_chan.vhd
components/solid/firmware/hdl/sc_chan.vhd
+226
-0
sc_chan_buf.vhd
components/solid/firmware/hdl/sc_chan_buf.vhd
+255
-0
sc_chan_standalone.vhd
components/solid/firmware/hdl/sc_chan_standalone.vhd
+136
-0
sc_chan_trig.vhd
components/solid/firmware/hdl/sc_chan_trig.vhd
+83
-0
sc_channels.vhd
components/solid/firmware/hdl/sc_channels.vhd
+140
-0
sc_channels_standalone.vhd
components/solid/firmware/hdl/sc_channels_standalone.vhd
+83
-0
sc_clocks.vhd
components/solid/firmware/hdl/sc_clocks.vhd
+106
-0
sc_deadtime_mon.vhd
components/solid/firmware/hdl/sc_deadtime_mon.vhd
+142
-0
sc_derand.vhd
components/solid/firmware/hdl/sc_derand.vhd
+89
-0
sc_input_serdes.vhd
components/solid/firmware/hdl/sc_input_serdes.vhd
+137
-0
sc_io.vhd
components/solid/firmware/hdl/sc_io.vhd
+163
-0
sc_io_64chan.vhd
components/solid/firmware/hdl/sc_io_64chan.vhd
+130
-0
sc_local_trig.vhd
components/solid/firmware/hdl/sc_local_trig.vhd
+180
-0
sc_mon.vhd
components/solid/firmware/hdl/sc_mon.vhd
+94
-0
sc_npeaks.vhd
components/solid/firmware/hdl/sc_npeaks.vhd
+79
-0
sc_npeaks_thresh.vhd
components/solid/firmware/hdl/sc_npeaks_thresh.vhd
+63
-0
sc_roc.vhd
components/solid/firmware/hdl/sc_roc.vhd
+324
-0
sc_seq.vhd
components/solid/firmware/hdl/sc_seq.vhd
+202
-0
sc_thresh.vhd
components/solid/firmware/hdl/sc_thresh.vhd
+63
-0
sc_timing.vhd
components/solid/firmware/hdl/sc_timing.vhd
+223
-0
sc_timing_startup.vhd
components/solid/firmware/hdl/sc_timing_startup.vhd
+61
-0
sc_tot.vhd
components/solid/firmware/hdl/sc_tot.vhd
+80
-0
sc_tot_thresh.vhd
components/solid/firmware/hdl/sc_tot_thresh.vhd
+63
-0
sc_trig.vhd
components/solid/firmware/hdl/sc_trig.vhd
+248
-0
sc_trig_dummy.vhd
components/solid/firmware/hdl/sc_trig_dummy.vhd
+42
-0
sc_trig_gen_random.vhd
components/solid/firmware/hdl/sc_trig_gen_random.vhd
+59
-0
sc_trig_gen_thresh.vhd
components/solid/firmware/hdl/sc_trig_gen_thresh.vhd
+44
-0
sc_trig_link.vhd
components/solid/firmware/hdl/sc_trig_link.vhd
+39
-0
sc_trig_ro_block.vhd
components/solid/firmware/hdl/sc_trig_ro_block.vhd
+77
-0
scaled_ctr.vhd
components/solid/firmware/hdl/scaled_ctr.vhd
+56
-0
sc_clocks_sim.vhd
components/solid/firmware/sim_hdl/sc_clocks_sim.vhd
+70
-0
payload.xml
projects/64chan_test/addr_table/payload.xml
+19
-0
top.xml
projects/64chan_test/addr_table/top.xml
+10
-0
top_sim.xml
projects/64chan_test/addr_table/top_sim.xml
+8
-0
payload.dep
projects/64chan_test/firmware/cfg/payload.dep
+14
-0
top.dep
projects/64chan_test/firmware/cfg/top.dep
+3
-0
ipbus_decode_top.vhd
projects/64chan_test/firmware/hdl/ipbus_decode_top.vhd
+66
-0
ipbus_decode_top_sim.vhd
projects/64chan_test/firmware/hdl/ipbus_decode_top_sim.vhd
+63
-0
payload.vhd
projects/64chan_test/firmware/hdl/payload.vhd
+300
-0
sync_routing.vhd
projects/64chan_test/firmware/hdl/sync_routing.vhd
+76
-0
top_decl.vhd
projects/64chan_test/firmware/hdl/top_decl.vhd
+29
-0
payload.xml
projects/8chan_test/addr_table/payload.xml
+17
-0
top.xml
projects/8chan_test/addr_table/top.xml
+10
-0
top_sim.xml
projects/8chan_test/addr_table/top_sim.xml
+8
-0
payload.dep
projects/8chan_test/firmware/cfg/payload.dep
+14
-0
payload_sim.dep
projects/8chan_test/firmware/cfg/payload_sim.dep
+13
-0
top.dep
projects/8chan_test/firmware/cfg/top.dep
+3
-0
top_sim.dep
projects/8chan_test/firmware/cfg/top_sim.dep
+3
-0
ipbus_decode_top.vhd
projects/8chan_test/firmware/hdl/ipbus_decode_top.vhd
+66
-0
ipbus_decode_top_sim.vhd
projects/8chan_test/firmware/hdl/ipbus_decode_top_sim.vhd
+63
-0
payload.vhd
projects/8chan_test/firmware/hdl/payload.vhd
+321
-0
sync_routing.vhd
projects/8chan_test/firmware/hdl/sync_routing.vhd
+76
-0
top_decl.vhd
projects/8chan_test/firmware/hdl/top_decl.vhd
+29
-0
payload_sim.vhd
projects/8chan_test/firmware/sim_hdl/payload_sim.vhd
+230
-0
top_decl.vhd
projects/8chan_test/firmware/sim_hdl/top_decl.vhd
+29
-0
fill_test.py
projects/8chan_test/software/fill_test.py
+77
-0
ro_dump.py
projects/8chan_test/software/ro_dump.py
+81
-0
ro_test.py
projects/8chan_test/software/ro_test.py
+149
-0
si5326.txt
projects/8chan_test/software/si5326.txt
+171
-0
talk.py
projects/8chan_test/software/talk.py
+417
-0
test_i2c_dave.py
projects/8chan_test/software/test_i2c_dave.py
+41
-0
README.md
projects/README.md
+29
-0
top.xml
projects/sim_test/addr_table/top.xml
+18
-0
payload_sim.dep
projects/sim_test/firmware/cfg/payload_sim.dep
+7
-0
top_sim.dep
projects/sim_test/firmware/cfg/top_sim.dep
+3
-0
ipbus_decode_top.vhd
projects/sim_test/firmware/hdl/ipbus_decode_top.vhd
+63
-0
payload_sim.vhd
projects/sim_test/firmware/sim_hdl/payload_sim.vhd
+76
-0
top_decl.vhd
projects/sim_test/firmware/sim_hdl/top_decl.vhd
+26
-0
top.xml
projects/test/addr_table/top.xml
+20
-0
payload.dep
projects/test/firmware/cfg/payload.dep
+9
-0
top.dep
projects/test/firmware/cfg/top.dep
+3
-0
#Untitled-1#
projects/test/firmware/hdl/#Untitled-1#
+3
-0
ipbus_decode_sc_chan_standalone.vhd
...cts/test/firmware/hdl/ipbus_decode_sc_chan_standalone.vhd
+51
-0
ipbus_decode_sc_io.vhd
projects/test/firmware/hdl/ipbus_decode_sc_io.vhd
+60
-0
ipbus_decode_sc_timing.vhd
projects/test/firmware/hdl/ipbus_decode_sc_timing.vhd
+51
-0
ipbus_decode_top.vhd
projects/test/firmware/hdl/ipbus_decode_top.vhd
+57
-0
payload.vhd
projects/test/firmware/hdl/payload.vhd
+218
-0
top_decl.vhd
projects/test/firmware/hdl/top_decl.vhd
+21
-0
No files found.
README.md
View file @
79a80152
...
...
@@ -13,8 +13,8 @@ operating system (e.g. Centos7) is required.
source ipbb-0.2.3/env.sh
ipbb init build
cd build
ipbb add git https://github.com/ipbus/ipbus-firmware.git -b
tags/
ipbus_2_0_v1
ipbb add git BITBUCKET-URL -b
tags/
v8
ipbb add git https://github.com/ipbus/ipbus-firmware.git -b ipbus_2_0_v1
ipbb add git BITBUCKET-URL -b v8
ipbb proj create vivado 64chan solid:projects/64chan_test
cd proj/64chan
ipbb vivado project
...
...
boards/pc051a/base_fw/sim/firmware/cfg/lib_mappings.tcl
0 → 100644
View file @
79a80152
set
xlib
$::env
(
XILINX_SIMLIBS
)
vmap secureip
$xlib
/secureip
vmap unisim
$xlib
/unisim
vmap unimacro
$xlib
/unimacro
vmap unifast
$xlib
/unifast
vmap unisims_ver
$xlib
/unisims_ver
vmap unimacro_ver
$xlib
/unimacro_ver
vmap unifast_ver
$xlib
/unifast_ver
vmap simprims_ver
$xlib
/simprims_ver
boards/pc051a/base_fw/sim/firmware/cfg/pc051a_infra_sim.dep
0 → 100644
View file @
79a80152
src pc051a_infra_sim.vhd
src -c ipbus-firmware:components/ipbus_util ../sim_hdl/clock_sim_7s.vhd
src -c ipbus-firmware:components/ipbus_eth ../sim/eth_mac_sim.vhd
include -c ipbus-firmware:components/ipbus_core
src -c ipbus-firmware:components/ipbus_core ipbus_package.vhd
boards/pc051a/base_fw/sim/firmware/cfg/pc051a_sim.dep
0 → 100644
View file @
79a80152
@device_family = "artix7"
@device_name = "xc7a200t"
@device_package = "fbg484"
@device_speed = "-2"
@boardname = "pc051a"
setup lib_mappings.tcl
src top_pc051a_sim.vhd
include pc051a_infra_sim.dep
src -c ipbus-firmware:components/ipbus_core ipbus_package.vhd
boards/pc051a/base_fw/sim/firmware/hdl/pc051a_infra_sim.vhd
0 → 100644
View file @
79a80152
-- kc705_basex_infra
--
-- All board-specific stuff goes here.
--
-- Dave Newbold, June 2013
library
IEEE
;
use
IEEE
.
STD_LOGIC_1164
.
ALL
;
use
work
.
ipbus
.
all
;
entity
pc051a_infra_sim
is
port
(
clk_ipb_o
:
out
std_logic
;
-- IPbus clock
rst_ipb_o
:
out
std_logic
;
clk125_o
:
out
std_logic
;
rst125_o
:
out
std_logic
;
nuke
:
in
std_logic
;
-- The signal of doom
soft_rst
:
in
std_logic
;
-- The signal of lesser doom
mac_addr
:
in
std_logic_vector
(
47
downto
0
);
-- MAC address
ip_addr
:
in
std_logic_vector
(
31
downto
0
);
-- IP address
ipb_in
:
in
ipb_rbus
;
-- ipbus
ipb_out
:
out
ipb_wbus
);
end
pc051a_infra_sim
;
architecture
rtl
of
pc051a_infra_sim
is
signal
clk125_fr
,
clk125
,
clk_ipb
,
clk_ipb_i
,
rst125
,
rst_ipb
,
rst_ipb_ctrl
:
std_logic
;
signal
mac_tx_data
,
mac_rx_data
:
std_logic_vector
(
7
downto
0
);
signal
mac_tx_valid
,
mac_tx_last
,
mac_tx_error
,
mac_tx_ready
,
mac_rx_valid
,
mac_rx_last
,
mac_rx_error
:
std_logic
;
begin
-- DCM clock generation for internal bus, ethernet
clocks
:
entity
work
.
clock_sim_7s
port
map
(
clko_125
=>
clk125
,
clko_ipb
=>
clk_ipb_i
,
locked
=>
open
,
nuke
=>
nuke
,
soft_rst
=>
soft_rst
,
rsto_125
=>
rst125
,
rsto_ipb
=>
rst_ipb
,
rsto_ipb_ctrl
=>
rst_ipb_ctrl
);
clk_ipb
<=
clk_ipb_i
;
-- Best to align delta delays on all clocks for simulation
clk_ipb_o
<=
clk_ipb_i
;
rst_ipb_o
<=
rst_ipb
;
clk125_o
<=
clk125
;
rst125_o
<=
rst125
;
-- Ethernet MAC core and PHY interface
eth
:
entity
work
.
eth_mac_sim
generic
map
(
MULTI_PACKET
=>
true
)
port
map
(
clk
=>
clk125
,
rst
=>
rst125
,
tx_data
=>
mac_tx_data
,
tx_valid
=>
mac_tx_valid
,
tx_last
=>
mac_tx_last
,
tx_error
=>
mac_tx_error
,
tx_ready
=>
mac_tx_ready
,
rx_data
=>
mac_rx_data
,
rx_valid
=>
mac_rx_valid
,
rx_last
=>
mac_rx_last
,
rx_error
=>
mac_rx_error
);
-- ipbus control logic
ipbus
:
entity
work
.
ipbus_ctrl
port
map
(
mac_clk
=>
clk125
,
rst_macclk
=>
rst125
,
ipb_clk
=>
clk_ipb
,
rst_ipb
=>
rst_ipb_ctrl
,
mac_rx_data
=>
mac_rx_data
,
mac_rx_valid
=>
mac_rx_valid
,
mac_rx_last
=>
mac_rx_last
,
mac_rx_error
=>
mac_rx_error
,
mac_tx_data
=>
mac_tx_data
,
mac_tx_valid
=>
mac_tx_valid
,
mac_tx_last
=>
mac_tx_last
,
mac_tx_error
=>
mac_tx_error
,
mac_tx_ready
=>
mac_tx_ready
,
ipb_out
=>
ipb_out
,
ipb_in
=>
ipb_in
,
mac_addr
=>
mac_addr
,
ip_addr
=>
ip_addr
);
end
rtl
;
boards/pc051a/base_fw/sim/firmware/hdl/top_pc051a_sim.vhd
0 → 100644
View file @
79a80152
-- Top-level design for ipbus demo
--
-- You must edit this file to set the IP and MAC addresses
--
-- Dave Newbold, 08/01/16
library
IEEE
;
use
IEEE
.
STD_LOGIC_1164
.
ALL
;
use
work
.
ipbus
.
all
;
use
work
.
top_decl
.
all
;
entity
top
is
end
top
;
architecture
rtl
of
top
is
signal
clk_ipb
,
rst_ipb
,
clk125
,
rst125
,
nuke
,
soft_rst
,
userled
,
clk200
:
std_logic
;
signal
ipb_out
:
ipb_wbus
;
signal
ipb_in
:
ipb_rbus
;
begin
-- Infrastructure
infra
:
entity
work
.
pc051a_infra_sim
-- Should work for artix also...
port
map
(
clk_ipb_o
=>
clk_ipb
,
rst_ipb_o
=>
rst_ipb
,
clk125_o
=>
clk125
,
rst125_o
=>
rst125
,
nuke
=>
nuke
,
soft_rst
=>
soft_rst
,
mac_addr
=>
MAC_ADDR
,
ip_addr
=>
IP_ADDR
,
ipb_in
=>
ipb_in
,
ipb_out
=>
ipb_out
);
payload
:
entity
work
.
payload_sim
port
map
(
ipb_clk
=>
clk_ipb
,
ipb_rst
=>
rst_ipb
,
ipb_in
=>
ipb_out
,
ipb_out
=>
ipb_in
,
clk125
=>
clk125
,
rst125
=>
rst125
,
nuke
=>
nuke
,
soft_rst
=>
soft_rst
);
end
rtl
;
boards/pc051a/base_fw/synth/firmware/cfg/pc051a.dep
0 → 100644
View file @
79a80152
@device_family = "artix7"
@device_name = "xc7a200t"
@device_package = "fbg484"
@device_speed = "-2"
@boardname = "pc051a"
setup settings_v7.tcl
src top_pc051a.vhd
include pc051a_infra.dep
src -c ipbus-firmware:components/ipbus_core ipbus_package.vhd
src --cd ../ucf pc051a.tcl
boards/pc051a/base_fw/synth/firmware/cfg/pc051a_infra.dep
0 → 100644
View file @
79a80152
src pc051a_infra.vhd
src -c ipbus-firmware:components/ipbus_util clocks_7s_serdes.vhd ipbus_clock_div.vhd led_stretcher.vhd
include -c ipbus-firmware:components/ipbus_core
include -c ipbus-firmware:components/ipbus_eth artix_basex.dep
src -c ipbus-firmware:components/ipbus_core ipbus_fabric_sel.vhd ipbus_package.vhd
boards/pc051a/base_fw/synth/firmware/cfg/settings_v7.tcl
0 → 100644
View file @
79a80152
set
obj
[
get_projects top
]
set_property
"default_lib"
"xil_defaultlib"
$obj
set_property
"simulator_language"
"Mixed"
$obj
set_property
"source_mgmt_mode"
"DisplayOnly"
$obj
set_property
"target_language"
"VHDL"
$obj
boards/pc051a/base_fw/synth/firmware/hdl/pc051a_infra.vhd
0 → 100644
View file @
79a80152
-- kc705_basex_infra
--
-- All board-specific stuff goes here.
--
-- Dave Newbold, June 2013
library
IEEE
;
use
IEEE
.
STD_LOGIC_1164
.
ALL
;
use
work
.
ipbus
.
all
;
entity
pc051a_infra
is
port
(
eth_clk_p
:
in
std_logic
;
-- 125MHz MGT clock
eth_clk_n
:
in
std_logic
;
eth_rx_p
:
in
std_logic
;
-- Ethernet MGT input
eth_rx_n
:
in
std_logic
;
eth_tx_p
:
out
std_logic
;
-- Ethernet MGT output
eth_tx_n
:
out
std_logic
;
sfp_los
:
in
std_logic
;
clk_ipb_o
:
out
std_logic
;
-- IPbus clock
rst_ipb_o
:
out
std_logic
;
clk125_o
:
out
std_logic
;
rst125_o
:
out
std_logic
;
clk200
:
out
std_logic
;
-- 200MHz unbuffered clock for IDELAYCTRL
nuke
:
in
std_logic
;
-- The signal of doom
soft_rst
:
in
std_logic
;
-- The signal of lesser doom
leds
:
out
std_logic_vector
(
1
downto
0
);
-- status LEDs
debug
:
out
std_logic_vector
(
3
downto
0
);
mac_addr
:
in
std_logic_vector
(
47
downto
0
);
-- MAC address
ip_addr
:
in
std_logic_vector
(
31
downto
0
);
-- IP address
ipb_in
:
in
ipb_rbus
;
-- ipbus
ipb_out
:
out
ipb_wbus
);
end
pc051a_infra
;
architecture
rtl
of
pc051a_infra
is
signal
clk125_fr
,
clk125
,
clk_ipb
,
clk_ipb_i
,
locked
,
clk_locked
,
eth_locked
,
rst125
,
rst_ipb
,
rst_ipb_ctrl
,
rst_eth
,
onehz
,
pkt
:
std_logic
;
signal
mac_tx_data
,
mac_rx_data
:
std_logic_vector
(
7
downto
0
);
signal
mac_tx_valid
,
mac_tx_last
,
mac_tx_error
,
mac_tx_ready
,
mac_rx_valid
,
mac_rx_last
,
mac_rx_error
:
std_logic
;
signal
led_p
:
std_logic_vector
(
0
downto
0
);
begin
-- DCM clock generation for internal bus, ethernet
clocks
:
entity
work
.
clocks_7s_serdes
port
map
(
clki_fr
=>
clk125_fr
,
clki_125
=>
clk125
,
clko_ipb
=>
clk_ipb_i
,
clko_200
=>
clk200
,
eth_locked
=>
eth_locked
,
locked
=>
clk_locked
,
nuke
=>
nuke
,
soft_rst
=>
soft_rst
,
rsto_125
=>
rst125
,
rsto_ipb
=>
rst_ipb
,
rsto_eth
=>
rst_eth
,
rsto_ipb_ctrl
=>
rst_ipb_ctrl
,
onehz
=>
onehz
);
clk_ipb
<=
clk_ipb_i
;
-- Best to align delta delays on all clocks for simulation
clk_ipb_o
<=
clk_ipb_i
;
rst_ipb_o
<=
rst_ipb
;
clk125_o
<=
clk125
;
rst125_o
<=
rst125
;
locked
<=
clk_locked
and
eth_locked
;
stretch
:
entity
work
.
led_stretcher
generic
map
(
WIDTH
=>
1
)
port
map
(
clk
=>
clk125
,
d
(
0
)
=>
pkt
,
q
=>
led_p
);
leds
<=
(
'0'
,
onehz
);
debug
<=
sfp_los
&
'0'
&
led_p
(
0
)
&
(
locked
and
onehz
);
-- Ethernet MAC core and PHY interface
eth
:
entity
work
.
eth_7s_1000basex_gtp
port
map
(
gt_clkp
=>
eth_clk_p
,
gt_clkn
=>
eth_clk_n
,
gt_txp
=>
eth_tx_p
,
gt_txn
=>
eth_tx_n
,
gt_rxp
=>
eth_rx_p
,
gt_rxn
=>
eth_rx_n
,
sfp_los
=>
sfp_los
,
clk125_out
=>
clk125
,
clk125_fr
=>
clk125_fr
,
rsti
=>
rst_eth
,
locked
=>
eth_locked
,
tx_data
=>
mac_tx_data
,
tx_valid
=>
mac_tx_valid
,
tx_last
=>
mac_tx_last
,
tx_error
=>
mac_tx_error
,
tx_ready
=>
mac_tx_ready
,
rx_data
=>
mac_rx_data
,
rx_valid
=>
mac_rx_valid
,
rx_last
=>
mac_rx_last
,
rx_error
=>
mac_rx_error
);
-- ipbus control logic
ipbus
:
entity
work
.
ipbus_ctrl
port
map
(
mac_clk
=>
clk125
,
rst_macclk
=>
rst125
,
ipb_clk
=>
clk_ipb
,
rst_ipb
=>
rst_ipb_ctrl
,
mac_rx_data
=>
mac_rx_data
,
mac_rx_valid
=>
mac_rx_valid
,
mac_rx_last
=>
mac_rx_last
,
mac_rx_error
=>
mac_rx_error
,
mac_tx_data
=>
mac_tx_data
,
mac_tx_valid
=>
mac_tx_valid
,
mac_tx_last
=>
mac_tx_last
,
mac_tx_error
=>
mac_tx_error
,
mac_tx_ready
=>
mac_tx_ready
,
ipb_out
=>
ipb_out
,
ipb_in
=>
ipb_in
,
mac_addr
=>
mac_addr
,
ip_addr
=>
ip_addr
,
pkt
=>
pkt
);
end
rtl
;
boards/pc051a/base_fw/synth/firmware/hdl/top_pc051a.vhd
0 → 100644
View file @
79a80152
-- Top-level design for ipbus demo
--
-- You must edit this file to set the IP and MAC addresses
--
-- Dave Newbold, 08/01/16
library
IEEE
;
use
IEEE
.
STD_LOGIC_1164
.
ALL
;
use
work
.
ipbus
.
all
;
use
work
.
top_decl
.
all
;
entity
top
is
port
(
eth_clk_p
:
in
std_logic
;
-- 125MHz MGT clock
eth_clk_n
:
in
std_logic
;
eth_rx_p
:
in
std_logic
;
-- Ethernet MGT input
eth_rx_n
:
in
std_logic
;
eth_tx_p
:
out
std_logic
;
-- Ethernet MGT output
eth_tx_n
:
out
std_logic
;
sfp_los
:
in
std_logic
;
sfp_tx_disable
:
out
std_logic
;
sfp_scl
:
out
std_logic
;
sfp_sda
:
out
std_logic
;
leds
:
out
std_logic_vector
(
1
downto
0
);
-- TE712 LEDs
leds_c
:
out
std_logic_vector
(
3
downto
0
);
-- carrier LEDs
dip_sw
:
in
std_logic_vector
(
3
downto
0
);
-- carrier switches
si5326_scl
:
out
std_logic
;
si5326_sda
:
inout
std_logic
;
si5326_rstn
:
out
std_logic
;
si5326_phase_inc
:
out
std_logic
;
si5326_phase_dec
:
out
std_logic
;
si5326_clk1_validn
:
in
std_logic
;
si5326_clk2_validn
:
in
std_logic
;
si5326_lol
:
in
std_logic
;
si5326_clk_sel
:
out
std_logic
;
si5326_rate0
:
out
std_logic
;
si5326_rate1
:
out
std_logic
;
clk40_p
:
in
std_logic
;
clk40_n
:
in
std_logic
;
adc_spi_cs
:
out
std_logic_vector
(
1
downto
0
);
adc_spi_mosi
:
out
std_logic
;
adc_spi_miso
:
in
std_logic_vector
(
1
downto
0
);
adc_spi_sclk
:
out
std_logic
;
adc_d_p
:
in
std_logic_vector
(
N_CHAN
-
1
downto
0
);
adc_d_n
:
in
std_logic_vector
(
N_CHAN
-
1
downto
0
);
analog_scl
:
out
std_logic
;
analog_sda
:
inout
std_logic
;
sync_a_p
:
inout
std_logic
;
sync_a_n
:
inout
std_logic
;
sync_b_p
:
inout
std_logic
;
sync_b_n
:
inout
std_logic
;
-- sync_c_p: inout std_logic;
-- sync_c_n: inout std_logic;
clk_pll_p
:
out
std_logic
;
clk_pll_n
:
out
std_logic
);
end
top
;
architecture
rtl
of
top
is
signal
clk_ipb
,
rst_ipb
,
clk125
,
rst125
,
nuke
,
soft_rst
,
userled
,
clk200
:
std_logic
;
signal
ipb_out
:
ipb_wbus
;
signal
ipb_in
:
ipb_rbus
;
signal
debug
:
std_logic_vector
(
3
downto
0
);
signal
si5326_sda_o
,
analog_sda_o
:
std_logic
;
begin
-- Infrastructure
infra
:
entity
work
.
pc051a_infra
-- Should work for artix also...
port
map
(
eth_clk_p
=>
eth_clk_p
,
eth_clk_n
=>
eth_clk_n
,
eth_tx_p
=>
eth_tx_p
,
eth_tx_n
=>
eth_tx_n
,
eth_rx_p
=>
eth_rx_p
,
eth_rx_n
=>
eth_rx_n
,
sfp_los
=>
sfp_los
,
clk_ipb_o
=>
clk_ipb
,
rst_ipb_o
=>
rst_ipb
,
clk125_o
=>
clk125
,
rst125_o
=>
rst125
,
clk200
=>
clk200
,
nuke
=>
nuke
,
soft_rst
=>
soft_rst
,
leds
=>
leds
(
1
downto
0
),
debug
=>
leds_c
,
mac_addr
(
47
downto
4
)
=>
MAC_ADDR
(
47
downto
4
),
mac_addr
(
3
downto
0
)
=>
dip_sw
,
ip_addr
(
31
downto
4
)
=>
IP_ADDR
(
31
downto
4
),
ip_addr
(
3
downto
0
)
=>
dip_sw
,
ipb_in
=>
ipb_in
,
ipb_out
=>
ipb_out
);
sfp_tx_disable
<=
'0'
;
sfp_scl
<=
'1'
;
sfp_sda
<=
'1'
;
payload
:
entity
work
.
payload
port
map
(
ipb_clk
=>
clk_ipb
,
ipb_rst
=>
rst_ipb
,
ipb_in
=>
ipb_out
,
ipb_out
=>
ipb_in
,
clk125
=>
clk125
,
rst125
=>
rst125
,
clk200
=>
clk200
,
nuke
=>
nuke
,
soft_rst
=>
soft_rst
,
userleds
=>
open
,
si5326_scl
=>
si5326_scl
,
si5326_sda_o
=>
si5326_sda_o
,
si5326_sda_i
=>
si5326_sda
,
si5326_rstn
=>
si5326_rstn
,
si5326_phase_inc
=>
si5326_phase_inc
,
si5326_phase_dec
=>
si5326_phase_dec
,
si5326_clk1_validn
=>
si5326_clk1_validn
,
si5326_clk2_validn
=>
si5326_clk2_validn
,
si5326_lol
=>
si5326_lol
,
si5326_clk_sel
=>
si5326_clk_sel
,
si5326_rate0
=>
si5326_rate0
,
si5326_rate1
=>
si5326_rate1
,
clk40_p
=>
clk40_p
,
clk40_n
=>
clk40_n
,
adc_cs
=>
adc_spi_cs
,
adc_mosi
=>
adc_spi_mosi
,
adc_miso
=>
adc_spi_miso
,
adc_sclk
=>
adc_spi_sclk
,
adc_d_p
=>
adc_d_p
,
adc_d_n
=>
adc_d_n
,
analog_scl
=>
analog_scl
,
analog_sda_o
=>
analog_sda_o
,
analog_sda_i
=>
analog_sda
,
sync_a_p
=>
sync_a_p
,
sync_a_n
=>
sync_a_n
,
sync_b_p
=>
sync_b_p
,
sync_b_n
=>
sync_b_n
,
-- sync_c_p => sync_c_p,
-- sync_c_n => sync_c_n,
clk_pll_p
=>
clk_pll_p
,
clk_pll_n
=>
clk_pll_n
);
si5326_sda
<=
'0'
when
si5326_sda_o
=
'0'
else
'Z'
;
analog_sda
<=
'0'
when
analog_sda_o
=
'0'
else
'Z'
;
end
rtl
;
boards/pc051a/base_fw/synth/firmware/ucf/pc051a.tcl
0 → 100644
View file @
79a80152
set_property CONFIG_VOLTAGE 3.3
[
current_design
]
set_property CFGBVS VCCO
[
current_design
]
set_property BITSTREAM.GENERAL.COMPRESS TRUE
[
current_design
]
# Ethernet RefClk (125MHz
)
create_clock -period 8.000 -name eth_refclk
[
get_ports eth_clk_p
]
# Ethernet monitor clock hack (62.5MHz
)
create_clock -period 16.000 -name clk_dc
[
get_pins infra/eth/dc_buf/O
]
# System synchronous clock (40MHz nominal
)
create_clock -period 25.000 -name clk40
[
get_ports clk40_p
]
set_clock_groups -asynchronous -group
[
get_clocks -include_generated_clocks clk40
]
-group
[
get_clocks -include_generated_clocks eth_refclk
]
-group
[
get_clocks -include_generated_clocks
[
get_clocks -filter
{
name =~ infra/eth/phy/*/RXOUTCLK
}]]
-group
[
get_clocks -include_generated_clocks
[
get_clocks -filter
{
name =~ infra/eth/phy/*/TXOUTCLK
}]]
# Area constraints
create_pblock infra
resize_pblock
[
get_pblocks infra
]
-add
{
CLOCKREGION_X1Y4:CLOCKREGION_X1Y4
}
#add_cells_to_pblock [get_pblocks infra
]
[
get_cells -quiet
[
list
infra
]]
create_pblock chans
resize_pblock
[
get_pblocks chans
]
-add
{
CLOCKREGION_X0Y3:CLOCKREGION_X0Y3
}
#add_cells_to_pblock [get_pblocks chans
]
[
get_cells -quiet
[
list
payload
]]
#remove_cells_from_pblock [get_pblocks chans
]
[
get_cells payload/idelctrl
]
set_property PACKAGE_PIN F6
[
get_ports eth_clk_p
]
set_property PACKAGE_PIN E6
[
get_ports eth_clk_n
]
set_property LOC GTPE2_CHANNEL_X0Y4
[
get_cells -hier -filter
{
name=~infra/eth/*/gtpe2_i
}]
proc
false_path
{
patt clk
}
{
set p
[
get_ports -quiet
$patt
-filter
{
direction != out
}]
if
{[
llength
$p
]
!= 0
}
{
set_input_delay 0 -clock
[
get_clocks
$clk
]
[
get_ports
$patt
-filter
{
direction != out
}]
set_false_path -from
[
get_ports
$patt
-filter
{
direction != out
}]
}
set p
[
get_ports -quiet
$patt
-filter
{
direction != in
}]
if
{[
llength
$p
]
!= 0
}
{
set_output_delay 0 -clock
[
get_clocks
$clk
]
[
get_ports
$patt
-filter
{
direction != in
}]
set_false_path -to
[
get_ports
$patt
-filter
{
direction != in
}]
}
}
set_property IOSTANDARD LVCMOS33
[
get_ports
{
sfp_*
}]
set_property PACKAGE_PIN W17
[
get_ports
{
sfp_los
}]
set_property PULLUP TRUE
[
get_ports
{
sfp_los
}]
set_property PACKAGE_PIN V19
[
get_ports
{
sfp_tx_disable
}]
set_property PACKAGE_PIN Y18
[
get_ports
{
sfp_scl
}]
set_property PACKAGE_PIN U20
[
get_ports
{
sfp_sda
}]
false_path sfp_* eth_refclk
set_property IOSTANDARD LVCMOS33
[
get_ports
{
leds
[
*
]}]
set_property PACKAGE_PIN W22
[
get_ports
{
leds
[
0
]}]
set_property PACKAGE_PIN U22
[
get_ports
{
leds
[
1
]}]
false_path
{
leds
[
*
]}
eth_refclk
set_property IOSTANDARD LVCMOS25
[
get_ports
{
leds_c
[
*
]}]
set_property PACKAGE_PIN B13
[
get_ports
{
leds_c
[
0
]}]
set_property PACKAGE_PIN C13
[
get_ports
{
leds_c
[
1
]}]
set_property PACKAGE_PIN E17
[
get_ports
{
leds_c
[
2
]}]
set_property PACKAGE_PIN F16
[
get_ports
{
leds_c
[
3
]}]
false_path
{
leds_c
[
*
]}
eth_refclk
set_property IOSTANDARD LVCMOS25
[
get_ports
{
dip_sw
[
*
]}]
set_property PACKAGE_PIN D14
[
get_ports
{
dip_sw
[
0
]}]
set_property PACKAGE_PIN D15
[
get_ports
{
dip_sw
[
1
]}]
set_property PACKAGE_PIN E13
[
get_ports
{
dip_sw
[
2
]}]
set_property PACKAGE_PIN E14
[
get_ports
{
dip_sw
[
3
]}]
false_path
{
dip_sw
[
*
]}
eth_refclk
set_property IOSTANDARD LVCMOS33
[
get_ports
{
si5326_*
}]
set_property PACKAGE_PIN T18
[
get_ports
{
si5326_scl
}]
set_property PACKAGE_PIN R18
[
get_ports
{
si5326_sda
}]
set_property PACKAGE_PIN R19
[
get_ports
{
si5326_rstn
}]
set_property PACKAGE_PIN U18
[
get_ports
{
si5326_phase_inc
}]
set_property PACKAGE_PIN U17
[
get_ports
{
si5326_phase_dec
}]
set_property PACKAGE_PIN P16
[
get_ports
{
si5326_clk1_validn
}]
set_property PACKAGE_PIN R17
[
get_ports
{
si5326_clk2_validn
}]
set_property PACKAGE_PIN Y21
[
get_ports
{
si5326_lol
}]
set_property PACKAGE_PIN Y19
[
get_ports
{
si5326_clk_sel
}]
set_property PACKAGE_PIN P19
[
get_ports
{
si5326_rate0
}]
set_property PACKAGE_PIN U21
[
get_ports
{
si5326_rate1
}]
false_path
{
si5326_*
}
eth_refclk
#set_property IOSTANDARD LVCMOS33 [get_ports {analog_*
}]
#set_property PACKAGE_PIN Y22 [get_ports {analog_scl
}]
#set_property PACKAGE_PIN T21 [get_ports {analog_sda
}]
#false_path {analog_*
}
eth_refclk
set_property IOSTANDARD LVCMOS25
[
get_ports
{
analog_*
}]
set_property PACKAGE_PIN H20
[
get_ports
{
analog_scl
}]
set_property PACKAGE_PIN J22
[
get_ports
{
analog_sda
}]
set_property PULLTYPE PULLUP
[
get_ports
{
analog_sda
}]
false_path
{
analog_*
}
eth_refclk
set_property IOSTANDARD LVDS_25
[
get_ports
{
clk40_*
}]
set_property PACKAGE_PIN W11
[
get_ports
{
clk40_p
}]
set_property PACKAGE_PIN W12
[
get_ports
{
clk40_n
}]
set_property IOSTANDARD LVDS_25
[
get_ports
{
sync_*
}]
set_property PACKAGE_PIN J15
[
get_ports
{
sync_a_p
}]
set_property PACKAGE_PIN H15
[
get_ports
{
sync_a_n
}]
set_property PACKAGE_PIN G17
[
get_ports
{
sync_b_p
}]
set_property PACKAGE_PIN G18
[
get_ports
{
sync_b_n
}]
#set_property PACKAGE_PIN H17 [get_ports {sync_c_p
}]
#set_property PACKAGE_PIN H18 [get_ports {sync_c_n
}]
false_path
{
sync_*
}
eth_refclk
set_property IOSTANDARD LVDS_25
[
get_ports
{
clk_pll_*
}]
set_property PACKAGE_PIN E22
[
get_ports
{
clk_pll_p
}]
set_property PACKAGE_PIN D22
[
get_ports
{
clk_pll_n
}]
false_path
{
clk_pll_*
}
eth_refclk