Commit 707fead4 authored by Dave Newbold's avatar Dave Newbold

Syntax fix

parent 326bbd04
......@@ -91,8 +91,6 @@ begin
port map(
ipb_clk => ipb_clk,
ipb_rst => ipb_rst,
ipb_in_timing => ipbw(N_SLV_TIMING),
ipb_out_timing => ipbr(N_SLV_TIMING),
ipb_in => ipbw(N_SLV_DAQ),
ipb_out => ipbr(N_SLV_DAQ),
rst_mmcm => ctrl_rst_mmcm,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment