Skip to content
Projects
Groups
Snippets
Help
Loading...
Sign in
Toggle navigation
E
euro-adc-65m-14b-40cha-gw
Project
Project
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
image/svg+xml
Discourse
Discourse
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Commits
Open sidebar
eurocard
euro-adc-65m-14b-40cha
euro-adc-65m-14b-40cha-gw
Commits
5c229840
Commit
5c229840
authored
Jul 12, 2017
by
Dave Newbold
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
UCF update
parent
212b54f0
Hide whitespace changes
Inline
Side-by-side
Showing
1 changed file
with
50 additions
and
40 deletions
+50
-40
pc054_ax3_pm3.tcl
projects/timing/firmware/ucf/pc054_ax3_pm3.tcl
+50
-40
No files found.
projects/timing/firmware/ucf/pc054_ax3_pm3.tcl
View file @
5c229840
set_property BITSTREAM.Config.SPI_BUSWIDTH 4
[
current_design
]
set_property BITSTREAM.CONFIG.CONFIGRATE 33
[
current_design
]
create_clock -period 4.000 -name fmc_clk
[
get_ports fmc_clk_p
]
create_clock -period 4.000 -name rec_clk
[
get_ports rec_clk_p
]
create_clock -period 25.0 -name clk_i
[
get_ports clk_i_p
]
set_clock_groups -asynchronous -group
[
get_clocks -include_generated_clocks fmc_clk
]
-group
[
get_clocks -include_generated_clocks rec_clk
]
-group
[
get_clocks -include_generated_clocks -of_obj
[
get_pins -of_obj
[
get_cells infra/clocks/mmcm
]
-filter
{
NAME =~ *CLKOUT*
}]]
set_property IOSTANDARD LVDS_25
[
get_port
{
fmc_clk_* rec_clk_* rec_d_* clk_out_* rj45_din_* rj45_dout_* sfp_dout_* gpin_* gpout_*
}]
set_property DIFF_TERM TRUE
[
get_port
{
fmc_clk_* rec_clk_* rec_d_* rj45_din_* gpin_*
}]
set_property PACKAGE_PIN T5
[
get_ports
{
fmc_clk_p
}]
set_property PACKAGE_PIN T4
[
get_ports
{
fmc_clk_n
}]
set_property PACKAGE_PIN E3
[
get_ports
{
rec_clk_p
}]
set_property PACKAGE_PIN D3
[
get_ports
{
rec_clk_n
}]
set_property PACKAGE_PIN M4
[
get_ports
{
rec_d_p
}]
set_property PACKAGE_PIN N4
[
get_ports
{
rec_d_n
}]
set_property PACKAGE_PIN N5
[
get_ports
{
clk_out_p
}]
set_property PACKAGE_PIN P5
[
get_ports
{
clk_out_n
}]
set_property PACKAGE_PIN K3
[
get_ports
{
rj45_din_p
}]
set_property PACKAGE_PIN L3
[
get_ports
{
rj45_din_n
}]
set_property PACKAGE_PIN G6
[
get_ports
{
rj45_dout_p
}]
set_property PACKAGE_PIN F6
[
get_ports
{
rj45_dout_n
}]
set_property PACKAGE_PIN D8
[
get_ports
{
sfp_dout_p
}]
set_property PACKAGE_PIN C7
[
get_ports
{
sfp_dout_n
}]
set_property PACKAGE_PIN N2
[
get_ports
{
gpin_0_p
}]
set_property PACKAGE_PIN N1
[
get_ports
{
gpin_0_n
}]
set_property PACKAGE_PIN L6
[
get_ports
{
gpout_0_p
}]
set_property PACKAGE_PIN L5
[
get_ports
{
gpout_0_n
}]
set_property PACKAGE_PIN M6
[
get_ports
{
gpout_1_p
}]
set_property PACKAGE_PIN N6
[
get_ports
{
gpout_1_n
}]
false_path
{
rec_d_* clk_out_* rj45_din_* rj45_dout_* sfp_dout_* gpin_* gpout_*
}
sysclk
set_property IOSTANDARD LVCMOS25
[
get_port
{
pll_rstn cdr_lol cdr_los sfp_los sfp_tx_dis sfp_flt uid_scl uid_sda sfp_scl sfp_sda pll_scl pll_sda
}]
set_property PACKAGE_PIN R6
[
get_ports
{
cdr_lol
}]
set_property PACKAGE_PIN R5
[
get_ports
{
cdr_los
}]
set_property PACKAGE_PIN P2
[
get_ports
{
sfp_los
}]
set_property PACKAGE_PIN U4
[
get_ports
{
sfp_tx_dis
}]
set_property PACKAGE_PIN U3
[
get_ports
{
sfp_flt
}]
set_property PACKAGE_PIN N17
[
get_ports
{
uid_scl
}]
set_property PACKAGE_PIN P18
[
get_ports
{
uid_sda
}]
set_property PACKAGE_PIN M3
[
get_ports
{
sfp_scl
}]
set_property PACKAGE_PIN M2
[
get_ports
{
sfp_sda
}]
set_property PACKAGE_PIN U1
[
get_ports
{
pll_scl
}]
set_property PACKAGE_PIN V1
[
get_ports
{
pll_sda
}]
set_property PACKAGE_PIN H1
[
get_ports
{
pll_rstn
}]
false_path
{
pll_rstn cdr_lol cdr_los sfp_los sfp_tx_dis sfp_flt uid_scl uid_sda sfp_scl sfp_sda pll_scl pll_sda
}
sysclk
set_property IOSTANDARD LVDS_25
[
get_port
{
clk_rstn_* clk_o_* clk_i_* trig_o_* trig_i_* sync_o_* sync_i_* trig_sel_* sync_sel_* scl_* sda_* busy_o_* busy_i_*
}]
set_property DIFF_TERM TRUE
[
get_port
{
clk_rstn_* clk_o_* clk_i_* trig_o_* trig_i_* sync_o_* sync_i_* trig_sel_* sync_sel_* scl_* sda_* busy_o_* busy_i_*
}]
set_property PACKAGE_PIN M6
[
get_ports
{
clk_rstn_p
}]
set_property PACKAGE_PIN N6
[
get_ports
{
clk_rstn_n
}]
set_property PACKAGE_PIN L6
[
get_ports
{
clk_o_p
}]
set_property PACKAGE_PIN L5
[
get_ports
{
clk_o_n
}]
set_property PACKAGE_PIN T5
[
get_ports
{
clk_i_p
}]
set_property PACKAGE_PIN T4
[
get_ports
{
clk_i_n
}]
set_property PACKAGE_PIN M4
[
get_ports
{
trig_o_p
}]
set_property PACKAGE_PIN N4
[
get_ports
{
trig_o_n
}]
set_property PACKAGE_PIN N2
[
get_ports
{
trig_i_p
}]
set_property PACKAGE_PIN N1
[
get_ports
{
trig_i_n
}]
set_property PACKAGE_PIN R6
[
get_ports
{
sync_o_p
}]
set_property PACKAGE_PIN R5
[
get_ports
{
sync_o_n
}]
set_property PACKAGE_PIN P2
[
get_ports
{
sync_i_p
}]
set_property PACKAGE_PIN R2
[
get_ports
{
sync_i_n
}]
set_property PACKAGE_PIN R7
[
get_ports
{
trig_sel_p
}]
set_property PACKAGE_PIN T6
[
get_ports
{
trig_sel_n
}]
set_property PACKAGE_PIN U1
[
get_ports
{
sync_sel_p
}]
set_property PACKAGE_PIN V1
[
get_ports
{
sync_sel_n
}]
set_property PACKAGE_PIN U4
[
get_ports
{
scl_p
}]
set_property PACKAGE_PIN U3
[
get_ports
{
scl_n
}]
set_property PACKAGE_PIN L1
[
get_ports
{
sda_o_p
}]
set_property PACKAGE_PIN M1
[
get_ports
{
sda_o_n
}]
set_property PACKAGE_PIN K5
[
get_ports
{
sda_i_p
}]
set_property PACKAGE_PIN L4
[
get_ports
{
sda_i_n
}]
set_property PACKAGE_PIN F4
[
get_ports
{
busy_o_p
}]
set_property PACKAGE_PIN F3
[
get_ports
{
busy_o_n
}]
set_property PACKAGE_PIN E2
[
get_ports
{
busy_i_p
[
0
]}]
set_property PACKAGE_PIN D2
[
get_ports
{
busy_i_n
[
0
]}]
set_property PACKAGE_PIN G4
[
get_ports
{
busy_i_p
[
1
]}]
set_property PACKAGE_PIN G3
[
get_ports
{
busy_i_n
[
1
]}]
set_property PACKAGE_PIN F1
[
get_ports
{
busy_i_p
[
2
]}]
set_property PACKAGE_PIN E1
[
get_ports
{
busy_i_n
[
2
]}]
set_property PACKAGE_PIN G6
[
get_ports
{
busy_i_p
[
3
]}]
set_property PACKAGE_PIN F6
[
get_ports
{
busy_i_n
[
3
]}]
set_property PACKAGE_PIN H2
[
get_ports
{
busy_i_p
[
4
]}]
set_property PACKAGE_PIN G2
[
get_ports
{
busy_i_n
[
4
]}]
set_property PACKAGE_PIN J3
[
get_ports
{
busy_i_p
[
5
]}]
set_property PACKAGE_PIN J2
[
get_ports
{
busy_i_n
[
5
]}]
set_property PACKAGE_PIN H6
[
get_ports
{
busy_i_p
[
6
]}]
set_property PACKAGE_PIN H5
[
get_ports
{
busy_i_n
[
6
]}]
set_property PACKAGE_PIN H1
[
get_ports
{
busy_i_p
[
7
]}]
set_property PACKAGE_PIN G1
[
get_ports
{
busy_i_n
[
7
]}]
set_property PACKAGE_PIN K2
[
get_ports
{
busy_i_p
[
8
]}]
set_property PACKAGE_PIN K1
[
get_ports
{
busy_i_n
[
8
]}]
set_property PACKAGE_PIN C4
[
get_ports
{
busy_i_p
[
9
]}]
set_property PACKAGE_PIN B4
[
get_ports
{
busy_i_n
[
9
]}]
false_path
{
clk_rstn_* clk_o_* clk_i_* trig_o_* trig_i_* sync_o_* sync_i_* trig_sel_* sync_sel_* scl_* sda_* busy_o_* busy_i_*
}
sysclk
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment