Commit 5b2eb536 authored by Dave Newbold's avatar Dave Newbold

Fixing startup for new ZS_DEL

parent 7712abe2
......@@ -48,7 +48,7 @@ begin
if and_reduce(std_logic_vector(sctr(BLK_RADIX - 1 downto 0))) = '1' then
nzs_en <= '1';
elsif unsigned(sctr(3 + BLK_RADIX downto BLK_RADIX)) = NZS_BLKS and sctr(BLK_RADIX - 1 downto 0) = to_unsigned(ZS_DEL, BLK_RADIX) then
nzs_en <= '1';
zs_en <= '1';
elsif unsigned(sctr(7 + BLK_RADIX downto BLK_RADIX)) = NZS_BLKS + unsigned(zs_blks) then
trig_en <= '1';
end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment