Commit 326bbd04 authored by Dave Newbold's avatar Dave Newbold

Syntax fix

parent 3eea1f91
......@@ -139,18 +139,8 @@ begin
port map(
ipb_clk => ipb_clk,
ipb_rst => ipb_rst,
ipb_in_timing => ipbw(N_SLV_TIMING),
ipb_out_timing => ipbr(N_SLV_TIMING),
ipb_in_fake => ipbw(N_SLV_FAKE),
ipb_out_fake => ipbr(N_SLV_FAKE),
ipb_in_chan => ipbw(N_SLV_CHAN),
ipb_out_chan => ipbr(N_SLV_CHAN),
ipb_in_trig => ipbw(N_SLV_TRIG),
ipb_out_trig => ipbr(N_SLV_TRIG),
ipb_in_tlink => ipbw(N_SLV_TLINK),
ipb_out_tlink => ipbr(N_SLV_TLINK),
ipb_in_roc => ipbw(N_SLV_ROC),
ipb_out_roc => ipbr(N_SLV_ROC),
ipb_in => ipbw(N_SLV_DAQ),
ipb_out => ipbr(N_SLV_DAQ),
rst_mmcm => ctrl_rst_mmcm,
locked => locked,
clk_in_p => clk_p,
......
......@@ -93,16 +93,8 @@ begin
ipb_rst => ipb_rst,
ipb_in_timing => ipbw(N_SLV_TIMING),
ipb_out_timing => ipbr(N_SLV_TIMING),
ipb_in_fake => ipbw(N_SLV_FAKE),
ipb_out_fake => ipbr(N_SLV_FAKE),
ipb_in_chan => ipbw(N_SLV_CHAN),
ipb_out_chan => ipbr(N_SLV_CHAN),
ipb_in_trig => ipbw(N_SLV_TRIG),
ipb_out_trig => ipbr(N_SLV_TRIG),
ipb_in_tlink => ipbw(N_SLV_TLINK),
ipb_out_tlink => ipbr(N_SLV_TLINK),
ipb_in_roc => ipbw(N_SLV_ROC),
ipb_out_roc => ipbr(N_SLV_ROC),
ipb_in => ipbw(N_SLV_DAQ),
ipb_out => ipbr(N_SLV_DAQ),
rst_mmcm => ctrl_rst_mmcm,
locked => locked,
clk_in_p => '0',
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment