Commit 2b5956fa authored by Dave Newbold's avatar Dave Newbold

Fixing trigger acknowledge bug

parent 8dd99bc2
......@@ -80,8 +80,8 @@ begin
td <= d_loc when valid_loc = '1' else d_ext;
tv <= valid_loc or valid_ext;
ack_loc <= valid_loc when rising_edge(clk40);
ack_ext <= valid_ext and not valid_loc when rising_edge(clk40);
ack_loc <= valid_loc;
ack_ext <= valid_ext and not valid_loc;
process(clk40)
begin
......
......@@ -41,6 +41,6 @@ begin
mark_del <= mark_del(DELAY - 2 downto 0) & mark when rising_edge(clk);
v <= ((v and not mark_del(DELAY - 1)) or (or_reduce(chan_trig(TBIT)) and mark_del(DELAY - 1))) and not (ack or not en) when rising_edge(clk);
valid <= v and not ack;
valid <= v;
end rtl;
......@@ -56,6 +56,6 @@ begin
(mode(0) and not or_reduce(sctr(BLK_RADIX + mask'left downto BLK_RADIX) and mask))) and mode(1);
v <= ((v and not mark) or ((rtrig or force_c) and mark)) and not (ack or not en) when rising_edge(clk);
valid <= v and not ack;
valid <= v;
end rtl;
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment