Commit 19de6702 authored by Dave Newbold's avatar Dave Newbold

Adjusting timestamp counters

parent acdd6b29
......@@ -37,7 +37,7 @@ architecture rtl of sc_trig_ro_block is
signal tctr_i: unsigned(27 downto 0);
signal go, blkend: std_logic;
signal chen, keep_c: std_logic_vector(63 downto 0);
signal bctr: unsigned(47 - BLK_RADIX downto 0);
signal bctr: unsigned(31 - BLK_RADIX downto 0);
begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment