Commit 05d5f37a authored by Dave Newbold's avatar Dave Newbold

Changing ZS encoding

parent 2dc4f993
......@@ -161,7 +161,7 @@ begin
end if;
wez <= ((not (z0 and z1)) or zb) and zs_en_dd and not mode and not buf_full_i;
if z1 = '1' and zctr /= 0 then
d_zs <= zb & '1' & (13 - BLK_RADIX downto 0 => '0') & std_logic_vector(zctr);
d_zs <= '0' & '1' & (13 - BLK_RADIX downto 0 => '0') & std_logic_vector(zctr);
else
d_zs <= q_nzs;
end if;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment